uwu/uwu.v

6 lines
65 B
Verilog

module uwu;
initial begin
$display("uwu");
end
endmodule