uwu/uwu.v
2021-08-28 00:13:57 +02:00

5 lines
65 B
Verilog

module uwu;
initial begin
$display("uwu");
end
endmodule