module uwu; initial begin $display("uwu"); end endmodule