apkfuckery/com.discord/smali/androidx/constraintlayout/solver/widgets/Chain.smali

1673 lines
49 KiB
Smali
Raw Normal View History

2020-05-17 12:40:10 +00:00
.class public Landroidx/constraintlayout/solver/widgets/Chain;
.super Ljava/lang/Object;
.source "Chain.java"
# static fields
.field public static final DEBUG:Z = false
# direct methods
.method public constructor <init>()V
.locals 0
invoke-direct {p0}, Ljava/lang/Object;-><init>()V
return-void
.end method
.method public static applyChainConstraints(Landroidx/constraintlayout/solver/widgets/ConstraintWidgetContainer;Landroidx/constraintlayout/solver/LinearSystem;I)V
2020-09-16 23:45:23 +00:00
.locals 5
2020-05-17 12:40:10 +00:00
const/4 v0, 0x0
if-nez p2, :cond_0
iget v1, p0, Landroidx/constraintlayout/solver/widgets/ConstraintWidgetContainer;->mHorizontalChainsSize:I
iget-object v2, p0, Landroidx/constraintlayout/solver/widgets/ConstraintWidgetContainer;->mHorizontalChainsArray:[Landroidx/constraintlayout/solver/widgets/ChainHead;
2020-07-08 16:44:49 +00:00
move-object v3, v2
move v2, v1
2020-05-17 12:40:10 +00:00
const/4 v1, 0x0
goto :goto_0
:cond_0
const/4 v1, 0x2
iget v2, p0, Landroidx/constraintlayout/solver/widgets/ConstraintWidgetContainer;->mVerticalChainsSize:I
iget-object v3, p0, Landroidx/constraintlayout/solver/widgets/ConstraintWidgetContainer;->mVerticalChainsArray:[Landroidx/constraintlayout/solver/widgets/ChainHead;
:goto_0
2020-09-16 23:45:23 +00:00
if-ge v0, v2, :cond_1
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
aget-object v4, v3, v0
2020-05-17 12:40:10 +00:00
invoke-virtual {v4}, Landroidx/constraintlayout/solver/widgets/ChainHead;->define()V
invoke-static {p0, p1, p2, v1, v4}, Landroidx/constraintlayout/solver/widgets/Chain;->applyChainConstraints(Landroidx/constraintlayout/solver/widgets/ConstraintWidgetContainer;Landroidx/constraintlayout/solver/LinearSystem;IILandroidx/constraintlayout/solver/widgets/ChainHead;)V
add-int/lit8 v0, v0, 0x1
goto :goto_0
2020-09-16 23:45:23 +00:00
:cond_1
2020-05-17 12:40:10 +00:00
return-void
.end method
.method public static applyChainConstraints(Landroidx/constraintlayout/solver/widgets/ConstraintWidgetContainer;Landroidx/constraintlayout/solver/LinearSystem;IILandroidx/constraintlayout/solver/widgets/ChainHead;)V
2020-09-16 23:45:23 +00:00
.locals 36
2020-05-17 12:40:10 +00:00
move-object/from16 v0, p0
move-object/from16 v9, p1
move-object/from16 v1, p4
iget-object v10, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mFirst:Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
iget-object v11, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mLast:Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
iget-object v12, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mFirstVisibleWidget:Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
iget-object v13, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mLastVisibleWidget:Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
iget-object v2, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mHead:Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
iget v3, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mTotalWeight:F
iget-object v4, v0, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListDimensionBehaviors:[Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;
aget-object v4, v4, p2
sget-object v5, Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;->WRAP_CONTENT:Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;
const/4 v7, 0x1
if-ne v4, v5, :cond_0
const/4 v4, 0x1
goto :goto_0
:cond_0
const/4 v4, 0x0
:goto_0
const/4 v5, 0x2
if-nez p2, :cond_3
iget v8, v2, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mHorizontalChainStyle:I
if-nez v8, :cond_1
const/4 v8, 0x1
goto :goto_1
:cond_1
const/4 v8, 0x0
:goto_1
iget v14, v2, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mHorizontalChainStyle:I
if-ne v14, v7, :cond_2
const/4 v14, 0x1
goto :goto_2
:cond_2
const/4 v14, 0x0
:goto_2
iget v15, v2, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mHorizontalChainStyle:I
if-ne v15, v5, :cond_6
goto :goto_5
:cond_3
iget v8, v2, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mVerticalChainStyle:I
if-nez v8, :cond_4
const/4 v8, 0x1
goto :goto_3
:cond_4
const/4 v8, 0x0
:goto_3
iget v14, v2, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mVerticalChainStyle:I
if-ne v14, v7, :cond_5
const/4 v14, 0x1
goto :goto_4
:cond_5
const/4 v14, 0x0
:goto_4
iget v15, v2, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mVerticalChainStyle:I
if-ne v15, v5, :cond_6
:goto_5
const/4 v5, 0x1
goto :goto_6
:cond_6
const/4 v5, 0x0
:goto_6
2020-07-08 16:44:49 +00:00
move-object v7, v10
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move v15, v14
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move v14, v8
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
const/4 v8, 0x0
2020-05-17 12:40:10 +00:00
:goto_7
const/16 v21, 0x0
2020-07-08 16:44:49 +00:00
if-nez v8, :cond_13
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
iget-object v6, v7, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
aget-object v6, v6, p3
2020-05-17 12:40:10 +00:00
2020-09-16 21:45:36 +00:00
if-eqz v5, :cond_7
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
const/16 v19, 0x1
2020-05-17 12:40:10 +00:00
goto :goto_8
:cond_7
2020-09-16 23:45:23 +00:00
const/16 v19, 0x4
2020-05-17 12:40:10 +00:00
2020-09-16 21:45:36 +00:00
:goto_8
invoke-virtual {v6}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-result v22
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v23, v3
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget-object v3, v7, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListDimensionBehaviors:[Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
aget-object v3, v3, p2
2020-07-08 16:44:49 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v24, v8
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
sget-object v8, Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;->MATCH_CONSTRAINT:Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-ne v3, v8, :cond_8
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget-object v3, v7, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mResolvedMatchConstraintDefault:[I
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
aget v3, v3, p2
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-nez v3, :cond_8
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/4 v3, 0x1
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_9
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_8
const/4 v3, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_9
iget-object v8, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v8, :cond_9
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eq v7, v10, :cond_9
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
invoke-virtual {v8}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
move-result v8
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
add-int v22, v8, v22
:cond_9
move/from16 v8, v22
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v5, :cond_a
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eq v7, v10, :cond_a
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eq v7, v12, :cond_a
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v22, v15
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/16 v19, 0x5
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_a
:cond_a
move/from16 v22, v15
2020-05-17 12:40:10 +00:00
:goto_a
2020-07-08 16:44:49 +00:00
iget-object v15, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
if-eqz v15, :cond_d
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-ne v7, v12, :cond_b
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v25, v14
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
iget-object v14, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
iget-object v15, v15, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-object/from16 v26, v2
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/4 v2, 0x6
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
invoke-virtual {v9, v14, v15, v8, v2}, Landroidx/constraintlayout/solver/LinearSystem;->addGreaterThan(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)V
2020-05-17 12:40:10 +00:00
goto :goto_b
2020-09-16 23:45:23 +00:00
:cond_b
move-object/from16 v26, v2
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v25, v14
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
iget-object v2, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
iget-object v14, v15, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/16 v15, 0x8
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
invoke-virtual {v9, v2, v14, v8, v15}, Landroidx/constraintlayout/solver/LinearSystem;->addGreaterThan(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)V
2020-05-17 12:40:10 +00:00
:goto_b
2020-09-16 23:45:23 +00:00
if-eqz v3, :cond_c
if-nez v5, :cond_c
const/4 v2, 0x5
goto :goto_c
:cond_c
move/from16 v2, v19
:goto_c
iget-object v3, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
iget-object v6, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
iget-object v6, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
invoke-virtual {v9, v3, v6, v8, v2}, Landroidx/constraintlayout/solver/LinearSystem;->addEquality(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)Landroidx/constraintlayout/solver/ArrayRow;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_d
2020-05-17 12:40:10 +00:00
:cond_d
2020-09-16 23:45:23 +00:00
move-object/from16 v26, v2
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v25, v14
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_d
2020-05-17 12:40:10 +00:00
if-eqz v4, :cond_f
2020-07-08 16:44:49 +00:00
invoke-virtual {v7}, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->getVisibility()I
2020-05-17 12:40:10 +00:00
move-result v2
const/16 v3, 0x8
if-eq v2, v3, :cond_e
2020-07-08 16:44:49 +00:00
iget-object v2, v7, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListDimensionBehaviors:[Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;
2020-05-17 12:40:10 +00:00
aget-object v2, v2, p2
sget-object v3, Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;->MATCH_CONSTRAINT:Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;
if-ne v2, v3, :cond_e
2020-07-08 16:44:49 +00:00
iget-object v2, v7, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
add-int/lit8 v3, p3, 0x1
aget-object v3, v2, v3
iget-object v3, v3, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
aget-object v2, v2, p3
iget-object v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-07-08 16:44:49 +00:00
const/4 v6, 0x5
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
const/4 v8, 0x0
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
invoke-virtual {v9, v3, v2, v8, v6}, Landroidx/constraintlayout/solver/LinearSystem;->addGreaterThan(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)V
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_e
2020-05-17 12:40:10 +00:00
:cond_e
2020-07-08 16:44:49 +00:00
const/4 v8, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_e
2020-07-08 16:44:49 +00:00
iget-object v2, v7, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
aget-object v2, v2, p3
iget-object v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v3, v0, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v3, v3, p3
iget-object v3, v3, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
const/16 v6, 0x8
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
invoke-virtual {v9, v2, v3, v8, v6}, Landroidx/constraintlayout/solver/LinearSystem;->addGreaterThan(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)V
2020-05-17 12:40:10 +00:00
:cond_f
2020-07-08 16:44:49 +00:00
iget-object v2, v7, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
add-int/lit8 v3, p3, 0x1
aget-object v2, v2, v3
iget-object v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
if-eqz v2, :cond_11
iget-object v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mOwner:Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
iget-object v3, v2, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-07-08 16:44:49 +00:00
aget-object v6, v3, p3
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
iget-object v6, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
if-eqz v6, :cond_11
2020-05-17 12:40:10 +00:00
aget-object v3, v3, p3
iget-object v3, v3, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
iget-object v3, v3, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mOwner:Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
2020-07-08 16:44:49 +00:00
if-eq v3, v7, :cond_10
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_f
2020-05-17 12:40:10 +00:00
:cond_10
move-object/from16 v21, v2
:cond_11
2020-09-16 23:45:23 +00:00
:goto_f
2020-05-17 12:40:10 +00:00
if-eqz v21, :cond_12
2020-07-08 16:44:49 +00:00
move-object/from16 v7, v21
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move/from16 v8, v24
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_10
2020-05-17 12:40:10 +00:00
:cond_12
2020-07-08 16:44:49 +00:00
const/4 v8, 0x1
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_10
move/from16 v15, v22
2020-07-08 16:44:49 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v3, v23
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v14, v25
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-object/from16 v2, v26
2020-05-17 12:40:10 +00:00
goto/16 :goto_7
:cond_13
2020-09-16 23:45:23 +00:00
move-object/from16 v26, v2
2020-07-08 16:44:49 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v23, v3
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v25, v14
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v22, v15
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v13, :cond_17
2020-05-17 12:40:10 +00:00
iget-object v2, v11, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
add-int/lit8 v3, p3, 0x1
2020-09-16 23:45:23 +00:00
aget-object v2, v2, v3
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
iget-object v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v2, :cond_17
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
iget-object v2, v13, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-09 19:21:20 +00:00
2020-09-16 21:45:36 +00:00
aget-object v2, v2, v3
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
iget-object v6, v13, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListDimensionBehaviors:[Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
aget-object v6, v6, p2
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
sget-object v7, Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;->MATCH_CONSTRAINT:Landroidx/constraintlayout/solver/widgets/ConstraintWidget$DimensionBehaviour;
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
if-ne v6, v7, :cond_14
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
iget-object v6, v13, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mResolvedMatchConstraintDefault:[I
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
aget v6, v6, p2
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
if-nez v6, :cond_14
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
const/4 v6, 0x1
goto :goto_11
2020-09-09 19:21:20 +00:00
2020-09-16 21:45:36 +00:00
:cond_14
2020-09-16 23:45:23 +00:00
const/4 v6, 0x0
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
:goto_11
if-eqz v6, :cond_15
if-nez v5, :cond_15
iget-object v6, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
iget-object v7, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mOwner:Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
if-ne v7, v0, :cond_15
iget-object v7, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v6, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
invoke-virtual {v2}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v8
neg-int v8, v8
const/4 v14, 0x5
invoke-virtual {v9, v7, v6, v8, v14}, Landroidx/constraintlayout/solver/LinearSystem;->addEquality(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)Landroidx/constraintlayout/solver/ArrayRow;
goto :goto_12
:cond_15
const/4 v14, 0x5
if-eqz v5, :cond_16
iget-object v6, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
iget-object v7, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mOwner:Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
if-ne v7, v0, :cond_16
iget-object v7, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v6, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
invoke-virtual {v2}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v8
neg-int v8, v8
const/4 v15, 0x4
invoke-virtual {v9, v7, v6, v8, v15}, Landroidx/constraintlayout/solver/LinearSystem;->addEquality(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)Landroidx/constraintlayout/solver/ArrayRow;
:cond_16
:goto_12
iget-object v6, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v7, v11, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v3, v7, v3
iget-object v3, v3, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
iget-object v3, v3, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
invoke-virtual {v2}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v2
neg-int v2, v2
const/4 v7, 0x6
invoke-virtual {v9, v6, v3, v2, v7}, Landroidx/constraintlayout/solver/LinearSystem;->addLowerThan(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)V
goto :goto_13
:cond_17
const/4 v14, 0x5
:goto_13
if-eqz v4, :cond_18
2020-05-17 12:40:10 +00:00
iget-object v0, v0, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
add-int/lit8 v2, p3, 0x1
aget-object v0, v0, v2
iget-object v0, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v3, v11, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v4, v3, v2
iget-object v4, v4, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
aget-object v2, v3, v2
invoke-virtual {v2}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v2
2020-09-16 23:45:23 +00:00
const/16 v3, 0x8
2020-05-17 12:40:10 +00:00
invoke-virtual {v9, v0, v4, v2, v3}, Landroidx/constraintlayout/solver/LinearSystem;->addGreaterThan(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)V
2020-09-16 23:45:23 +00:00
:cond_18
2020-05-17 12:40:10 +00:00
iget-object v0, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mWeightedMatchConstraintsWidgets:Ljava/util/ArrayList;
2020-09-16 23:45:23 +00:00
if-eqz v0, :cond_1e
2020-05-17 12:40:10 +00:00
invoke-virtual {v0}, Ljava/util/ArrayList;->size()I
move-result v2
const/4 v3, 0x1
2020-09-16 23:45:23 +00:00
if-le v2, v3, :cond_1e
2020-05-17 12:40:10 +00:00
iget-boolean v4, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mHasUndefinedWeights:Z
2020-09-16 23:45:23 +00:00
if-eqz v4, :cond_19
2020-05-17 12:40:10 +00:00
iget-boolean v4, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mHasComplexMatchWeights:Z
2020-09-16 23:45:23 +00:00
if-nez v4, :cond_19
2020-05-17 12:40:10 +00:00
iget v4, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mWidgetsMatchCount:I
int-to-float v4, v4
2020-09-16 23:45:23 +00:00
goto :goto_14
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_19
move/from16 v4, v23
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_14
const/4 v6, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-object/from16 v7, v21
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
const/4 v8, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/16 v28, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_15
if-ge v8, v2, :cond_1e
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
invoke-virtual {v0, v8}, Ljava/util/ArrayList;->get(I)Ljava/lang/Object;
2020-05-17 12:40:10 +00:00
move-result-object v15
check-cast v15, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
iget-object v3, v15, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mWeight:[F
aget v3, v3, p2
2020-09-16 23:45:23 +00:00
cmpg-float v18, v3, v6
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-gez v18, :cond_1b
2020-05-17 12:40:10 +00:00
iget-boolean v3, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mHasComplexMatchWeights:Z
2020-09-16 23:45:23 +00:00
if-eqz v3, :cond_1a
2020-05-17 12:40:10 +00:00
iget-object v3, v15, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
add-int/lit8 v15, p3, 0x1
aget-object v15, v3, v15
iget-object v15, v15, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
aget-object v3, v3, p3
iget-object v3, v3, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
const/4 v6, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/4 v14, 0x4
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
invoke-virtual {v9, v15, v3, v6, v14}, Landroidx/constraintlayout/solver/LinearSystem;->addEquality(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)Landroidx/constraintlayout/solver/ArrayRow;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/4 v14, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_17
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_1a
const/4 v14, 0x4
2020-05-17 12:40:10 +00:00
const/high16 v3, 0x3f800000 # 1.0f
2020-09-16 23:45:23 +00:00
const/4 v6, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_16
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_1b
const/4 v14, 0x4
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_16
cmpl-float v18, v3, v6
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-nez v18, :cond_1c
2020-05-17 12:40:10 +00:00
iget-object v3, v15, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
add-int/lit8 v15, p3, 0x1
aget-object v15, v3, v15
iget-object v15, v15, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
aget-object v3, v3, p3
iget-object v3, v3, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
const/16 v6, 0x8
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/4 v14, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
invoke-virtual {v9, v15, v3, v14, v6}, Landroidx/constraintlayout/solver/LinearSystem;->addEquality(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)Landroidx/constraintlayout/solver/ArrayRow;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_17
move-object/from16 v23, v0
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move/from16 v17, v2
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_19
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_1c
const/4 v14, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v7, :cond_1d
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget-object v6, v7, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
aget-object v7, v6, p3
2020-09-16 21:45:36 +00:00
2020-09-16 23:45:23 +00:00
iget-object v7, v7, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
add-int/lit8 v17, p3, 0x1
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
aget-object v6, v6, v17
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget-object v6, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget-object v14, v15, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-object/from16 v23, v0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
aget-object v0, v14, p3
2020-05-17 12:40:10 +00:00
iget-object v0, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
aget-object v14, v14, v17
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget-object v14, v14, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move/from16 v17, v2
2020-05-17 12:40:10 +00:00
invoke-virtual/range {p1 .. p1}, Landroidx/constraintlayout/solver/LinearSystem;->createRow()Landroidx/constraintlayout/solver/ArrayRow;
move-result-object v2
2020-09-16 23:45:23 +00:00
move-object/from16 v27, v2
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v29, v4
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move/from16 v30, v3
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-object/from16 v31, v7
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-object/from16 v32, v6
2020-09-16 21:45:36 +00:00
2020-09-16 23:45:23 +00:00
move-object/from16 v33, v0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-object/from16 v34, v14
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
invoke-virtual/range {v27 .. v34}, Landroidx/constraintlayout/solver/ArrayRow;->createRowEqualMatchDimensions(FFFLandroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;)Landroidx/constraintlayout/solver/ArrayRow;
2020-05-17 12:40:10 +00:00
invoke-virtual {v9, v2}, Landroidx/constraintlayout/solver/LinearSystem;->addConstraint(Landroidx/constraintlayout/solver/ArrayRow;)V
2020-09-16 23:45:23 +00:00
goto :goto_18
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_1d
move-object/from16 v23, v0
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move/from16 v17, v2
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_18
move/from16 v28, v3
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-object v7, v15
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_19
2020-07-08 16:44:49 +00:00
add-int/lit8 v8, v8, 0x1
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move/from16 v2, v17
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-object/from16 v0, v23
2020-05-17 12:40:10 +00:00
const/4 v3, 0x1
2020-09-16 23:45:23 +00:00
const/4 v6, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/4 v14, 0x5
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto/16 :goto_15
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_1e
if-eqz v12, :cond_23
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eq v12, v13, :cond_1f
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v5, :cond_23
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_1f
2020-05-17 12:40:10 +00:00
iget-object v0, v10, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 21:45:36 +00:00
aget-object v0, v0, p3
2020-09-16 23:45:23 +00:00
iget-object v1, v11, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
add-int/lit8 v2, p3, 0x1
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
aget-object v1, v1, v2
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget-object v0, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v0, :cond_20
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget-object v0, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-object v3, v0
2020-09-16 21:45:36 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_1a
2020-09-16 21:45:36 +00:00
2020-09-16 23:45:23 +00:00
:cond_20
move-object/from16 v3, v21
2020-09-16 21:45:36 +00:00
2020-09-16 23:45:23 +00:00
:goto_1a
iget-object v0, v1, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 21:45:36 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v0, :cond_21
2020-05-17 12:40:10 +00:00
iget-object v0, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
move-object v5, v0
2020-09-16 23:45:23 +00:00
goto :goto_1b
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_21
2020-05-17 12:40:10 +00:00
move-object/from16 v5, v21
2020-09-16 23:45:23 +00:00
:goto_1b
2020-05-17 12:40:10 +00:00
iget-object v0, v12, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 23:45:23 +00:00
aget-object v0, v0, p3
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget-object v1, v13, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
aget-object v1, v1, v2
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v3, :cond_46
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v5, :cond_46
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-nez p2, :cond_22
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move-object/from16 v2, v26
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mHorizontalBiasPercent:F
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_1c
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_22
move-object/from16 v2, v26
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mVerticalBiasPercent:F
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_1c
move v4, v2
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
invoke-virtual {v0}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
move-result v6
invoke-virtual {v1}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
2020-05-17 12:40:10 +00:00
move-result v7
2020-09-16 23:45:23 +00:00
iget-object v2, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
iget-object v8, v1, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/4 v10, 0x7
2020-05-17 12:40:10 +00:00
move-object/from16 v0, p1
2020-09-16 23:45:23 +00:00
move-object v1, v2
move-object v2, v3
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
move v3, v6
2020-05-17 12:40:10 +00:00
move-object v6, v8
move v8, v10
invoke-virtual/range {v0 .. v8}, Landroidx/constraintlayout/solver/LinearSystem;->addCentering(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;IFLandroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)V
2020-09-16 23:45:23 +00:00
goto/16 :goto_34
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_23
if-eqz v25, :cond_35
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v12, :cond_35
2020-05-17 12:40:10 +00:00
iget v0, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mWidgetsMatchCount:I
2020-09-16 23:45:23 +00:00
if-lez v0, :cond_24
2020-05-17 12:40:10 +00:00
iget v1, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mWidgetsCount:I
2020-09-16 23:45:23 +00:00
if-ne v1, v0, :cond_24
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
const/16 v16, 0x1
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_1d
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_24
2020-07-08 16:44:49 +00:00
const/16 v16, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_1d
2020-05-17 12:40:10 +00:00
move-object v14, v12
move-object v15, v14
2020-09-16 23:45:23 +00:00
:goto_1e
if-eqz v14, :cond_46
2020-05-17 12:40:10 +00:00
iget-object v0, v14, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mNextChainWidget:[Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
aget-object v0, v0, p2
move-object v8, v0
2020-09-16 23:45:23 +00:00
:goto_1f
if-eqz v8, :cond_25
2020-05-17 12:40:10 +00:00
invoke-virtual {v8}, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->getVisibility()I
move-result v0
2020-07-08 16:44:49 +00:00
const/16 v6, 0x8
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-ne v0, v6, :cond_26
2020-05-17 12:40:10 +00:00
iget-object v0, v8, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mNextChainWidget:[Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
aget-object v8, v0, p2
2020-09-16 23:45:23 +00:00
goto :goto_1f
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_25
2020-07-08 16:44:49 +00:00
const/16 v6, 0x8
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_26
if-nez v8, :cond_28
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-ne v14, v13, :cond_27
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_20
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_27
2020-07-08 16:44:49 +00:00
move-object/from16 v17, v8
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto/16 :goto_27
2020-09-16 21:45:36 +00:00
2020-09-16 23:45:23 +00:00
:cond_28
:goto_20
2020-05-17 12:40:10 +00:00
iget-object v0, v14, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v0, v0, p3
iget-object v1, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v2, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 23:45:23 +00:00
if-eqz v2, :cond_29
2020-05-17 12:40:10 +00:00
iget-object v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
goto :goto_21
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_29
2020-05-17 12:40:10 +00:00
move-object/from16 v2, v21
2020-09-16 23:45:23 +00:00
:goto_21
if-eq v15, v14, :cond_2a
2020-05-17 12:40:10 +00:00
iget-object v2, v15, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
add-int/lit8 v3, p3, 0x1
aget-object v2, v2, v3
iget-object v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
goto :goto_22
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_2a
if-ne v14, v12, :cond_2c
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-ne v15, v14, :cond_2c
2020-05-17 12:40:10 +00:00
iget-object v2, v10, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v3, v2, p3
iget-object v3, v3, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 23:45:23 +00:00
if-eqz v3, :cond_2b
2020-05-17 12:40:10 +00:00
aget-object v2, v2, p3
iget-object v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
iget-object v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
goto :goto_22
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_2b
2020-05-17 12:40:10 +00:00
move-object/from16 v2, v21
2020-09-16 23:45:23 +00:00
:cond_2c
:goto_22
2020-05-17 12:40:10 +00:00
invoke-virtual {v0}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v0
iget-object v3, v14, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
add-int/lit8 v4, p3, 0x1
aget-object v3, v3, v4
invoke-virtual {v3}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v3
2020-09-16 23:45:23 +00:00
if-eqz v8, :cond_2d
2020-05-17 12:40:10 +00:00
iget-object v5, v8, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v5, v5, p3
2020-07-08 16:44:49 +00:00
iget-object v7, v5, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
iget-object v6, v14, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
aget-object v6, v6, v4
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
iget-object v6, v6, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
move-object/from16 v35, v7
2020-07-08 16:44:49 +00:00
move-object v7, v6
2020-09-16 23:45:23 +00:00
move-object/from16 v6, v35
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_24
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_2d
2020-05-17 12:40:10 +00:00
iget-object v5, v11, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v5, v5, v4
iget-object v5, v5, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 23:45:23 +00:00
if-eqz v5, :cond_2e
2020-05-17 12:40:10 +00:00
iget-object v6, v5, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
goto :goto_23
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_2e
2020-05-17 12:40:10 +00:00
move-object/from16 v6, v21
2020-09-16 23:45:23 +00:00
:goto_23
2020-05-17 12:40:10 +00:00
iget-object v7, v14, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v7, v7, v4
iget-object v7, v7, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
:goto_24
if-eqz v5, :cond_2f
2020-05-17 12:40:10 +00:00
invoke-virtual {v5}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v5
add-int/2addr v3, v5
2020-09-16 23:45:23 +00:00
:cond_2f
if-eqz v15, :cond_30
2020-05-17 12:40:10 +00:00
iget-object v5, v15, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v5, v5, v4
invoke-virtual {v5}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v5
add-int/2addr v0, v5
2020-09-16 23:45:23 +00:00
:cond_30
if-eqz v1, :cond_27
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v2, :cond_27
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v6, :cond_27
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v7, :cond_27
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-ne v14, v12, :cond_31
2020-05-17 12:40:10 +00:00
iget-object v0, v12, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v0, v0, p3
invoke-virtual {v0}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v0
2020-09-16 23:45:23 +00:00
:cond_31
2020-05-17 12:40:10 +00:00
move v5, v0
2020-09-16 23:45:23 +00:00
if-ne v14, v13, :cond_32
2020-05-17 12:40:10 +00:00
iget-object v0, v13, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v0, v0, v4
invoke-virtual {v0}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v0
2020-07-08 16:44:49 +00:00
move/from16 v17, v0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_25
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_32
2020-07-08 16:44:49 +00:00
move/from16 v17, v3
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_25
if-eqz v16, :cond_33
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/16 v18, 0x8
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_26
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_33
const/16 v18, 0x5
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_26
2020-05-17 12:40:10 +00:00
const/high16 v4, 0x3f000000 # 0.5f
move-object/from16 v0, p1
move v3, v5
move-object v5, v6
2020-07-08 16:44:49 +00:00
move-object v6, v7
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move/from16 v7, v17
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move-object/from16 v17, v8
2020-09-16 23:45:23 +00:00
move/from16 v8, v18
2020-05-17 12:40:10 +00:00
invoke-virtual/range {v0 .. v8}, Landroidx/constraintlayout/solver/LinearSystem;->addCentering(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;IFLandroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)V
2020-09-16 23:45:23 +00:00
:goto_27
2020-05-17 12:40:10 +00:00
invoke-virtual {v14}, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->getVisibility()I
move-result v0
const/16 v8, 0x8
2020-09-16 23:45:23 +00:00
if-eq v0, v8, :cond_34
2020-05-17 12:40:10 +00:00
move-object v15, v14
2020-09-16 23:45:23 +00:00
:cond_34
2020-07-08 16:44:49 +00:00
move-object/from16 v14, v17
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto/16 :goto_1e
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_35
2020-05-17 12:40:10 +00:00
const/16 v8, 0x8
2020-09-16 23:45:23 +00:00
if-eqz v22, :cond_46
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v12, :cond_46
2020-05-17 12:40:10 +00:00
iget v0, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mWidgetsMatchCount:I
2020-09-16 23:45:23 +00:00
if-lez v0, :cond_36
2020-05-17 12:40:10 +00:00
iget v1, v1, Landroidx/constraintlayout/solver/widgets/ChainHead;->mWidgetsCount:I
2020-09-16 23:45:23 +00:00
if-ne v1, v0, :cond_36
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
const/16 v16, 0x1
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_28
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_36
2020-07-08 16:44:49 +00:00
const/16 v16, 0x0
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_28
2020-05-17 12:40:10 +00:00
move-object v14, v12
move-object v15, v14
2020-09-16 23:45:23 +00:00
:goto_29
if-eqz v14, :cond_42
2020-05-17 12:40:10 +00:00
iget-object v0, v14, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mNextChainWidget:[Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
aget-object v0, v0, p2
2020-09-16 23:45:23 +00:00
:goto_2a
if-eqz v0, :cond_37
2020-05-17 12:40:10 +00:00
invoke-virtual {v0}, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->getVisibility()I
move-result v1
2020-09-16 23:45:23 +00:00
if-ne v1, v8, :cond_37
2020-05-17 12:40:10 +00:00
iget-object v0, v0, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mNextChainWidget:[Landroidx/constraintlayout/solver/widgets/ConstraintWidget;
aget-object v0, v0, p2
2020-09-16 23:45:23 +00:00
goto :goto_2a
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_37
if-eq v14, v12, :cond_40
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eq v14, v13, :cond_40
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v0, :cond_40
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-ne v0, v13, :cond_38
2020-05-17 12:40:10 +00:00
move-object/from16 v7, v21
2020-09-16 23:45:23 +00:00
goto :goto_2b
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_38
2020-05-17 12:40:10 +00:00
move-object v7, v0
2020-09-16 23:45:23 +00:00
:goto_2b
2020-05-17 12:40:10 +00:00
iget-object v0, v14, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v0, v0, p3
iget-object v1, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v2, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 23:45:23 +00:00
if-eqz v2, :cond_39
2020-05-17 12:40:10 +00:00
iget-object v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
:cond_39
2020-05-17 12:40:10 +00:00
iget-object v2, v15, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
add-int/lit8 v3, p3, 0x1
aget-object v2, v2, v3
iget-object v2, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
invoke-virtual {v0}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v0
iget-object v4, v14, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v4, v4, v3
invoke-virtual {v4}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v4
2020-09-16 23:45:23 +00:00
if-eqz v7, :cond_3b
2020-05-17 12:40:10 +00:00
iget-object v5, v7, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v5, v5, p3
iget-object v6, v5, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v8, v5, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 23:45:23 +00:00
if-eqz v8, :cond_3a
2020-05-17 12:40:10 +00:00
iget-object v8, v8, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
goto :goto_2d
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_3a
2020-05-17 12:40:10 +00:00
move-object/from16 v8, v21
2020-09-16 23:45:23 +00:00
goto :goto_2d
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_3b
iget-object v5, v13, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
aget-object v5, v5, p3
2020-09-16 21:45:36 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v5, :cond_3c
2020-05-17 12:40:10 +00:00
iget-object v6, v5, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
goto :goto_2c
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_3c
2020-05-17 12:40:10 +00:00
move-object/from16 v6, v21
2020-09-16 23:45:23 +00:00
:goto_2c
2020-05-17 12:40:10 +00:00
iget-object v8, v14, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v8, v8, v3
iget-object v8, v8, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
:goto_2d
if-eqz v5, :cond_3d
2020-05-17 12:40:10 +00:00
invoke-virtual {v5}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v5
add-int/2addr v5, v4
2020-07-08 16:44:49 +00:00
move/from16 v17, v5
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_2e
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_3d
2020-07-08 16:44:49 +00:00
move/from16 v17, v4
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_2e
2020-05-17 12:40:10 +00:00
iget-object v4, v15, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v3, v4, v3
invoke-virtual {v3}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v3
add-int/2addr v3, v0
2020-09-16 23:45:23 +00:00
if-eqz v16, :cond_3e
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
const/16 v18, 0x8
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_2f
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_3e
const/16 v18, 0x4
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_2f
if-eqz v1, :cond_3f
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v2, :cond_3f
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v6, :cond_3f
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v8, :cond_3f
2020-05-17 12:40:10 +00:00
const/high16 v4, 0x3f000000 # 0.5f
move-object/from16 v0, p1
move-object v5, v6
2020-09-16 23:45:23 +00:00
const/16 v19, 0x4
2020-05-17 12:40:10 +00:00
move-object v6, v8
2020-09-16 23:45:23 +00:00
move-object/from16 v20, v7
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move/from16 v7, v17
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move-object/from16 v17, v15
2020-05-17 12:40:10 +00:00
const/16 v15, 0x8
2020-09-16 23:45:23 +00:00
move/from16 v8, v18
2020-05-17 12:40:10 +00:00
invoke-virtual/range {v0 .. v8}, Landroidx/constraintlayout/solver/LinearSystem;->addCentering(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;IFLandroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)V
2020-09-16 23:45:23 +00:00
goto :goto_30
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_3f
move-object/from16 v20, v7
2020-05-17 12:40:10 +00:00
2020-07-08 16:44:49 +00:00
move-object/from16 v17, v15
2020-05-17 12:40:10 +00:00
const/16 v15, 0x8
2020-09-16 23:45:23 +00:00
const/16 v19, 0x4
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_30
move-object/from16 v0, v20
2020-09-09 19:21:20 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_31
:cond_40
2020-07-08 16:44:49 +00:00
move-object/from16 v17, v15
2020-05-17 12:40:10 +00:00
const/16 v15, 0x8
2020-09-16 23:45:23 +00:00
const/16 v19, 0x4
:goto_31
2020-05-17 12:40:10 +00:00
invoke-virtual {v14}, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->getVisibility()I
move-result v1
2020-09-16 23:45:23 +00:00
if-eq v1, v15, :cond_41
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
goto :goto_32
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_41
2020-07-08 16:44:49 +00:00
move-object/from16 v14, v17
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:goto_32
2020-05-17 12:40:10 +00:00
move-object v15, v14
const/16 v8, 0x8
move-object v14, v0
2020-09-16 23:45:23 +00:00
goto/16 :goto_29
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_42
2020-05-17 12:40:10 +00:00
iget-object v0, v12, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v0, v0, p3
iget-object v1, v10, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v1, v1, p3
iget-object v1, v1, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
iget-object v2, v13, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
add-int/lit8 v3, p3, 0x1
aget-object v10, v2, v3
iget-object v2, v11, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v2, v2, v3
iget-object v14, v2, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 23:45:23 +00:00
if-eqz v1, :cond_44
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eq v12, v13, :cond_43
2020-05-17 12:40:10 +00:00
iget-object v2, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v1, v1, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
invoke-virtual {v0}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v0
const/4 v15, 0x5
invoke-virtual {v9, v2, v1, v0, v15}, Landroidx/constraintlayout/solver/LinearSystem;->addEquality(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)Landroidx/constraintlayout/solver/ArrayRow;
2020-09-16 23:45:23 +00:00
goto :goto_33
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_43
2020-05-17 12:40:10 +00:00
const/4 v15, 0x5
2020-09-16 23:45:23 +00:00
if-eqz v14, :cond_45
2020-05-17 12:40:10 +00:00
iget-object v2, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v3, v1, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
invoke-virtual {v0}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v4
const/high16 v5, 0x3f000000 # 0.5f
iget-object v6, v10, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v7, v14, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
invoke-virtual {v10}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v8
2020-07-08 16:44:49 +00:00
const/16 v16, 0x5
2020-05-17 12:40:10 +00:00
move-object/from16 v0, p1
move-object v1, v2
move-object v2, v3
move v3, v4
move v4, v5
move-object v5, v6
move-object v6, v7
move v7, v8
2020-07-08 16:44:49 +00:00
move/from16 v8, v16
2020-05-17 12:40:10 +00:00
invoke-virtual/range {v0 .. v8}, Landroidx/constraintlayout/solver/LinearSystem;->addCentering(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;IFLandroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)V
2020-09-16 23:45:23 +00:00
goto :goto_33
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_44
2020-05-17 12:40:10 +00:00
const/4 v15, 0x5
2020-09-16 23:45:23 +00:00
:cond_45
:goto_33
if-eqz v14, :cond_46
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eq v12, v13, :cond_46
2020-05-17 12:40:10 +00:00
iget-object v0, v10, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v1, v14, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
invoke-virtual {v10}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v2
neg-int v2, v2
invoke-virtual {v9, v0, v1, v2, v15}, Landroidx/constraintlayout/solver/LinearSystem;->addEquality(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)Landroidx/constraintlayout/solver/ArrayRow;
2020-09-16 23:45:23 +00:00
:cond_46
:goto_34
if-nez v25, :cond_47
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v22, :cond_4d
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_47
if-eqz v12, :cond_4d
if-eq v12, v13, :cond_4d
2020-05-17 12:40:10 +00:00
iget-object v0, v12, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v0, v0, p3
iget-object v1, v13, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
add-int/lit8 v2, p3, 0x1
aget-object v1, v1, v2
iget-object v3, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 23:45:23 +00:00
if-eqz v3, :cond_48
2020-05-17 12:40:10 +00:00
iget-object v3, v3, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
goto :goto_35
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_48
2020-05-17 12:40:10 +00:00
move-object/from16 v3, v21
2020-09-16 23:45:23 +00:00
:goto_35
2020-05-17 12:40:10 +00:00
iget-object v4, v1, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 23:45:23 +00:00
if-eqz v4, :cond_49
2020-05-17 12:40:10 +00:00
iget-object v4, v4, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-09-16 23:45:23 +00:00
goto :goto_36
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_49
2020-05-17 12:40:10 +00:00
move-object/from16 v4, v21
2020-09-16 23:45:23 +00:00
:goto_36
if-eq v11, v13, :cond_4b
2020-05-17 12:40:10 +00:00
iget-object v4, v11, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v4, v4, v2
iget-object v4, v4, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mTarget:Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
2020-09-16 23:45:23 +00:00
if-eqz v4, :cond_4a
2020-05-17 12:40:10 +00:00
iget-object v4, v4, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
2020-07-08 16:44:49 +00:00
move-object/from16 v21, v4
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_4a
2020-07-08 16:44:49 +00:00
move-object/from16 v5, v21
2020-09-16 23:45:23 +00:00
goto :goto_37
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_4b
2020-05-17 12:40:10 +00:00
move-object v5, v4
2020-09-16 23:45:23 +00:00
:goto_37
if-ne v12, v13, :cond_4c
2020-05-17 12:40:10 +00:00
iget-object v0, v12, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v1, v0, p3
aget-object v0, v0, v2
2020-09-16 23:45:23 +00:00
move-object/from16 v35, v1
2020-05-17 12:40:10 +00:00
move-object v1, v0
2020-09-16 23:45:23 +00:00
move-object/from16 v0, v35
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
:cond_4c
if-eqz v3, :cond_4d
2020-05-17 12:40:10 +00:00
2020-09-16 23:45:23 +00:00
if-eqz v5, :cond_4d
2020-05-17 12:40:10 +00:00
const/high16 v4, 0x3f000000 # 0.5f
invoke-virtual {v0}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v6
iget-object v7, v13, Landroidx/constraintlayout/solver/widgets/ConstraintWidget;->mListAnchors:[Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;
aget-object v2, v7, v2
invoke-virtual {v2}, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->getMargin()I
move-result v7
iget-object v2, v0, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
iget-object v8, v1, Landroidx/constraintlayout/solver/widgets/ConstraintAnchor;->mSolverVariable:Landroidx/constraintlayout/solver/SolverVariable;
const/4 v10, 0x5
move-object/from16 v0, p1
move-object v1, v2
move-object v2, v3
move v3, v6
move-object v6, v8
move v8, v10
invoke-virtual/range {v0 .. v8}, Landroidx/constraintlayout/solver/LinearSystem;->addCentering(Landroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;IFLandroidx/constraintlayout/solver/SolverVariable;Landroidx/constraintlayout/solver/SolverVariable;II)V
2020-09-16 23:45:23 +00:00
:cond_4d
2020-05-17 12:40:10 +00:00
return-void
.end method