From f08ff58b64f0be24398169c9cab1b2cb6695bcca Mon Sep 17 00:00:00 2001 From: lutepickle <28810-lutepickle@users.noreply.gitgud.io> Date: Wed, 11 Oct 2023 08:06:31 -0700 Subject: [PATCH] Split animal cycle check into generic ShouldCycle function, exclude egglaying races from cycling --- 1.4/Assemblies/RJW_Menstruation.dll | Bin 217600 -> 217600 bytes 1.4/MilkModule/Assemblies/MilkModule.dll | Bin 5632 -> 5632 bytes .../HediffComps/HediffComp_Breast.cs | 2 +- .../HediffComps/HediffComp_Menstruation.cs | 2 +- .../HediffComps/MenstruationUtility.cs | 8 ++++++++ .../RJW_Menstruation/Patch/RJW_Patch.cs | 8 ++++---- .../RJW_Menstruation/Utility.cs | 2 +- changelogs.txt | 1 + 8 files changed, 16 insertions(+), 7 deletions(-) diff --git a/1.4/Assemblies/RJW_Menstruation.dll b/1.4/Assemblies/RJW_Menstruation.dll index 528bef175912b1121ee0b2fd0c76dcc584023e66..69b11bd0e81642b5fc98e6334ed9259107d1047e 100644 GIT binary patch delta 74632 zcmc${2Y6J)`agc=?B;B8QeabdLqb9lNHS~*DU^hgLI>#xghaYZl>=F9EV~=*ii$YO zQEb@TwSkIVRIFIAckEuTSFgQm{C(azyPI9Wd++!AeE-is&yzVbpLyqilV0)1v~H3 zuFYk??QAbR+{SWt-d=pV$`lDSNUTU4892-`Be_pW#Nle8^0nR z;ZIfFZZ6X+N=~(^jDUuFDvA@Sp$vY3@cBLLbTP+Y(*G{XrC8-}&=c&J5SM+qs1ZI1 zw4q1gcowVtZ(_T@g54sX^j{BU8CB)(oie|L5X4o}3q>TK%8wE^SM@;&_Eufqa&eSr zx5$vJI4W6K<$ELtDoU@a9w^F}#32^{smdQ0Hw{=cZvGC4I zn};%yJ~~}|(2|=PhWzQOv3BPlEm^8~5U6YP_3Wmd4~^Cs3ya6cT-UG}B;KB7&pkV- zQ8g&QpFln6-i(ePGnF-NK8>MPG}SmBQEi!NMA$T>7sBG2v13_K{Bvw=1{qt!XHb!9 zoFe*UqmLSh?a@t**iPWnmC!292fSYs{FdjkA@&V)#Xt++M4vaJ&Gb;KWsW>qz9J6 z(X$o4LJXeNpWQ7MPHJb%!~>HG94isyGM0&VC$;J?C};^VTKr-r+C=?;+H9d*r{T`)M1sVPN-ru+>&3R82%#V&!G5=pgi9+D~b!TW$MVR z(?M0?i9;VuZHN$+KU*^-wXOx%Pz9=PkBb`r8K6gtN>NsTa}Bkq;F*AIx%?l<1=*g3 zkgSivsaC+7Zjl6GJGwo1CCFB`rQ6it*$8JdHFyr5{9HJi!?*_)aq+a?Y_qs~S_xY& zUY|BN<2*#UjJuJ}ST1@^ug}<$2+W(_A9SZrAI}~V`=$@>D-cPAU6-mTOxO5i8VOvs zj;+u>zW|Z^LeYQ50QQKOJEJ!<#c?x6YkSBzE)u(EOlPm46L*XLGl%sa53t;?R(QA% zMo(qG3L<#Q`g8>z7o9VEqlsTOvxr?H?w{EUhWhHvaqKx!boeNCsaSM)KD$h;KfDU~ zjfby5XOlatt|xk3-PlgzL78@brI<5onEfh*#g>X(CS=Bs&MKOD1TsbmYZ(C>v9-b+ItHGrleH*%9&A0{uPa&#kzp5zpsG`7|qIPx# zyIIVg-CTbyVk@*D)M7Ve1D^#w<)k=Me0gadN{+I|nZlnXHrElMw||UOyg1vP|6DvG zts@t-(a1ID&*>9^8E9v}4ra#nGNn7MUQ0z-;8Ji?#w)r#Xfv((dugLYL7&nM>+NS0G6Ns*I>veJy$UhE(76J~-==9naIuHl;dm#7?-pCyd$C>O=JsBt-BcNgR4T_niQb#v zBR**#&+ZjfM|cjq7f@7^;9?YoOrm3xf<|*6Vtl#b7U;#7so7Ni6ly?b=d{CED7pb{ zTZz+)tB>d#xgT(NE@YCOa4S4r+GnJd2PmUXis6ma2|tK%j-%cQ4uP*gfciv@8V>;u z%?Fh;HAs)(!vLIiV>ceYyd*G1g8uP|V5DO^j88^1V60LS70vnC0Ls3>S(R7p+Nl4vj3^){F5=iQ`E0|^~E@-z0<9~-p$6CX`uK>b+ z0BJA_L^H{-+ou5d2teCxPqB>$Nl*y<8I?lvX;FqBlF@)9My25zti||0kgQ{TuCgY| zlz%Q>JF2XgVuP8tAg;V~HT83q$eVKcSU8V%)g_8|AlKTz;M#U{8MBGd(eB8{B=HW6 z^&i3$?f`q)!LEg;OVcRhf69z0hL4VB{P(0p>tcxlGErK0B8vHoM8{c)A{F6dWvYzs zR1_6Y^?6cOCs?T}!pF-*J-QQ7Omd>ZD*pnatNeRnp%hm4P*7N9Bq}w0JO&@*OT;$v zC9qIF1_c{>C1PdJ_!nYy?+Jl?@K1NBp^aefz*^!f;Gs?m1j!9PBlayV9!?{&qf6^W z!q9LYjr}fC6}R%@#Td z?4^|Grwb|iN8&aPVx~JVnUhft<0o;?vI|w(rWgBF1dj=3_LgIboR9_Y6t>l)A>#Pe z#X}W2=3rPRwaB4uDW3GlsbGc+PB;R6$kuowJYAZb%HkH=SGyuavk%i9YpcX<X~#%L6~WVEgY{(rDGmD|PL#+Vj6Bs<8+Xr5p|((#ZqL7At- zlzFmLX0fHr;cH4GMJTtWHSB9JDr~qo4oMDb;E%W_hpI_>A*1f0KoDgD8Fi3UDSMXg zj>X433EfKlg^(>!itMRpIYU)uc6TkOLo`Q_TscSbtyY6n1;GMz>izbNnLVbzmHZfprUU?~q{&NSkd~KE1hZ21+E?eu1^n;f=pfe$a#UDLTImIXR zK)%dq*2Yh0xD^RfYC%dEsDRlUWyqhbyRbS{`B7Dr!=RvS1+BO*BJk*k2aIUzC^)-Y zIQ9kfY%#vWGc>8uP|x7kDmoTpSmYz9v%Um`NWPKi6;Ay_chB&*4zH6Mhb3REYxu0A z4DBvGP~So_sX;Pwr)EG(5}ravCHy%KZ|`ge$JnmtV7DQzdS|Ldin#vblt4p9l(>S3 z#nXXu)?a)T*b{?CNciD(gHlsqOfu2$>*lfwG5gq4Gg0W+3Jo2?XUEp(lStWWxhxMf zv3=xRo&_jX+}`09Q;*XoQOnKIy6tHw*3$_C6&5>JkV#ddl4!A`h0aD5I8%|a9IrOX zP>>!Nu&z9=Z<_}U{nMNpRvZ!~)1g%ar)s7VEE#TL7y%pAMZ z?(bvgLlSW@`NY||^+2*yk}F&6I+0tI?BGX|fj84^i-B?Q_l3)1_6BKHZ`@ zRH!u*O^a9*%9%8P(2jE0wwf#VzR;Q6R(f3w4wiekBIhB!1S zlra|38R6)_BzA|2BIz_PS+QzWZY&C9ScMugQZ})Du$==Y1>-=JFey-N0Uf-oX#r*) zDGFBN%2XP>!dR{S#T;?$|IH*3qc#`Mg!@E#K!nufp*yh;Y_a-4%sOleHt6J^T$tlQEu5e*wgKbxy)66!r5X_!hwc7cJ_#HQ>>lcYDa4D= z@YaN=sWM7j6zLn8Mx@i>$h6WiBsSv^*i6ERk#vm1DXpaI#*+CNvp`Z{%#QJjhUdh? z6D)$(IADdb^`*;FN?GK9Z(!e0hq0qqRD&2Nt*zN~M?>px!8s z){p_1W5Z8tP~k(5F$Lzx_XxJpweSeA;@CIPI=-h7_#E)eNq6c7HVJiSx_q?u@z)en zb|bA4yQG?BjTB3-*NND#TdY{C5~Oq(x6{OiQ%d@gCb}grcUqJj8+FJ-GU5xw{TmA` zp(7-8PNp-n;X^eh_#5z9nPg|hc_TUeTSv_$q?z2Uqcl0ukUS4in8Ym8k#%MX>dc@` zI^Exsr$Gt6wD2f~`Cd*BZx&(}A_g0+7oRkQ-6>u?sSlekzB#EBC(k)2FYF~18ph1f znd7(RdL7Q3ASU^C==JQAd$Y&IjVG6!mixKYLz)e4;|9GkAy#J5% zoGS}z={YZ^=iR3)(3U`s@R6eY)PdPY!3)iGHu0n3OLg<5V&$omTBypbF4R~CuQa)6 zcsatcLadPf3{0~+$qrW{D4Q_q^XF5Gs#YPK(=)p#-weCz@TXzxLlOqxK-f42u^e;U zc#=`4d2-jI>j-TDv+M$@R+>oS{X|dkDca)`_*aru4J={RjMdDJwLLV zSQ~JhDPioN**m^-P~Nh!Y(NfHw$xUocA_!oA|~p^F%nD(3@W7I^7&{o&Xj0mh$4|# z=O#%{9g$^hYmtCd)og*5wZ)U2gk;^#ELtB(5%z;Y!!;>p(xSDDC`U979ajt$7i^jjNx$1vX|bs9W}$KPl3KS;qlwYW#S+JJbMRSW z>DdhtYzFD>JbnTm>8hnb+91-66XC=UK-VMC@e6912jO$@|iuRI!ckS#U0bDc8Z z090}ahA2J{8p~G7r5-`HyQP(ffm@P=BUq*%ls#epo9xr$*%OEVBKu%Hi$K^06vpU8 zlDGmS@^2I%OP$U5X=J6;tCI#wTH0yx5!C>(_%B3=Kn92~{2&n|@;?<}J4EOa7lBy( zClMlO9F_DzBCw|`CXBu`#^foN3v4f%qXh|N%kqjgNe26CC`IjvP+%Ps! zx-5HEikqJbUxm$@c%heHdY&hOSsXSM>>+`vFt(ac0|j;!YG{spI{bV#X2E<8oOLVF z#ifG;`y#q8-QjC<7-z!kpOKZ~8_CatH^Y_b9jKGsJW#i|-=X1lS1u2`9 zEW69aUC^RWUFi0txmz>Siff;8_#BQDelBQT9*3`o!`KY3BgG)ZJ6w$>&eHgK0Q|UK zVsXpm+o1|6U3r$>*n-G%E0Jn*@$k3>TuGmt+ zE)Y*_8C-ZFXmrh2iopRscTK*kxeXKE;6=iHese())w;?H@hDU7YoFNGt_H6n3%Gda z+ViVeq(34&pGPlKVGPtrL@P*VD7wEO8n+ER{h86gB?$QBjSIO0I~_e8G)|Y7E=7z* zRB~Mwrcy702W~v^e#pnK?)R(Q1E0#h(ybA-7mUD?cg+QP`ejI2lcB2E0NH#&1-o3_ zeZc@WNPK!hA9jV%F077h2LUR1Fjevnv|^5P5HElsNkAAHu~t(Tz|*A-q}VHww7ipI zvQ^%OWWIiukiovx$6Sr*)Nb$GycPT!KTIhPB=QZNAtrhHAam&_T*wB4)YgvAGrL-nV zBbeDMLKWA7W{m;*E9kIXUT9J|!B!2XED$7Ohj|<%?|+dOrI>Y5`Ha7k z9~@T>raqywN%z)AGsA*Ni`x&$B-tp6v>S_xYC0BmZ9)|J6H;B55JmoIl&o$HV2W6{Z4T}VlCrNr zbh*E0MHn+LJ8ckByY!}TYzx7V`@rSR;)89&S&b;Z4_kp(N=8m zNwPnA2c(+cCZ4;jVCZmaRJT((I?*rK`5o|LQ`(}3hOp+<{vvtl91qI^Y=!A<}|9sHEKjaMk=~)2@pud-4`A`oc2`73;!4dE76#WAd=jn%@{On9^;T)d(|GzSqC7Ie zI?5xN-Yw}h{u}k~y~58RHg*oLC7i?aRfumuO)6o&7c|DRaLR+v!OgJ;pT|S%m|uYT z^Hk9B7ZB#1B6wwS(>{1{bB7+`7vb3WG*IN`=JJ;iS{K4RBL_NR&;LlTQac!{c{ACYNV%E;+c_Q}}C?NAOlOGLG94UVot#NXb@= zHxT7ZPtmFzDH`7k>&4(f$CIC^iZT!B18;&rmu|XrGo+gteoIv%&`0=f#N$#Et}M0Y zXzm<`J15n~-yyDRZN?syU*2%>9chzGS-Li@2j4}sv7Zv^-db#OzenEm@cVc;(}N%2 z5nN0uKZMWk#O}&Rec4R75ti_B*N50LJAOJDhQWf)2Ap} zR%fiNnVK`RJI`yc;mwq%Z<^Dw(rK@n;2r2f7C;V?;vWG0S%;8HWL{eskxV8#Q&&1u zs>Xr|vQJvu(B!?xSbyc?DKfxsY1svGv zmw2ROnMU_eec1_dc9-!l#3i$+mHk7U$5;P`2gMN&@!)kuwaXDOR={!bugUuj9Nk+P zW!}qf97G^8t}kRy2!8$b>ED7l2lIM6A0ghlzB*?lil39^%xZWUgP6_k%;Haqq8k>l z#+{)Xo@eYkG3lnpe%~XJs%6>vC{Xhs5TX`H(>Dbza3Qt87IEuM-iS1As?PKSTT}ghOtTR%U=vnm)1n1)=!`)@1z*xXLu{pe6BQ(`tZ8)`7Z$YuW)kgUYje& zo*KS9nL{&ii2Np5P!wN)gl>0WEJ+Lry*VkePsq_UmxYF|02$8JQjFh`%7yd9V*?|> z#X6S5wASuQOEgVqDvokwGYf4;Bn7DT(8*kvHcA$NwgLDe>b%P3fgRDwCXF*X@*96p zPJu4C(m0(CcPm|Wb=qrB^%{ZElsm5VX(7ze>`4s+o4BdySg4VQu0kXQqPgo`+8CL; zstirYT@MnSmAmCXD0fX3&oLl_dVur(=5|x{d9c`;s0MJfu7{{IR{5Xep}!SHsKc|^ z)eTUCwVuH59_&^B&i-vag0 z#V*{t!mJ9j#r309W2P8#Yf+Pfp#RKmBBoN5p@DJ48@dyPkr~Vq;?}YVMTBuZE4EwJ z8rlg0H7H#^17U%ayYa}- za(PcA^l4!y9=anbnBIexb6l;`)eX2Cr3Z5nK}`j5>qu{lM&PN035EHg?al{?@Jq=p4;g*2jaS=cq#GP`-{VH~n zTXy6Z_6Ho>Jdm@>*y7K4Osv~+1l~4!aYrpy`SzU?G@L=}+$(NP_MNl+D?r@7Qod2-QG@TH#4A-!;7Zgsil{0BP{bZo z-FPi+!JUPo@y?9A>!}MlL~P|>#uV#{CyasCPK(sX?kv|9Q*Y)M|GKkUTVi?p-PJPr zNa=;3<(*HXIiq+@}U3km$`*{XG8EY+I@EDxBYU6N;DfsrS6 z+|`d&iP!IHWG@KU-Br0OQ7-63Q3hELEcaK6*1KyWI2(q^%Ba{D04ARC_l}|~@Ox;$ z&$qS{f*4Zq3KEE_qUpl52n6fk7}zqwTSwC|M;HWOM(VNH%w@RA>_ZNnO*!_oC`0VT z=-GB-h)CU4iM6~g~8ku;YV_=-fEjHiZ(=qsfFc$YwwpM(7Qn(0N-E#ls+EHYT&0_ik{d!)D z+Qym_tCf#YGGnAT^?|a;8cVH;Qa3P$qnwVpzByXQdb}-=1j-j@!V{5^A(?q=lcI4S z`>)bWh^NtvPSgxFJe$(2h>8bmw4+JJQDWYM^%~x))dHi%B@glfyiBVFFke73!dlVJ zv4`uGqfS`7``}>geC9qhDj(};t;>#ad!i+YHJtL1!g#1CeH;=8$CEQbm=6tXlI_if zp$s*v%dIro&O+bH>L!;qb|jUCo8r%o@I)IV_EKzt9HBovnB5>+9v+^K5fu~Jp19W2 zB+huanN1W=KU~fZ6JI@CG3;c-Wx!H#B%Ue_Rt?5AN{C`oKNnu_CzZAL|SE^2f@CPXV{kPe}Z~*Z~$j z_w-{Yh(UY2Ft|$X&S<%n|i=|7Buftr`;73p?nPaX8 z+tUGQ;?OjoP8J|5*Ne(sjmizI6VE?ckbZ18iTLhG-tP=#?8Cg0Hr^~X8Z(gAT{l?1 z9h+g3Z9ErYlYy-{Y`AEMZ4}4s^|`y{b3!ClyA#kbi_DhVc zqh5|`i(}mNN;>RSu6(X3Lbaa}xCu6usiLS?Q9&$9s$^+4r16BAO4RnMkZIfCK~Wp| zH#BoWf&<@HJd|d6fQJ|2eECj06>+>B3bz{`lrj23G-?)=sT*>J--=A?thoqA>jZPF ze+b-S76&6(D%L(<8(9iT+l)$7oCDVloOb>ofY$VmANt@0QOzhqM362h%D0!DcpFnT z$>@8Gvc7NwxK9bDvS{(TJ0~qWP2L!hK|UA4$m8rBtfx~u27pe!QDw9t!l+l3Nw{;# z8xa^f_|HH8#5^aZ%N6LJ=U{GdbzHsS7hnQ%AK?jjy0jIs)?VI8F-E&s{X%g%)lTZ% z3^mobMwl;@vE#&jFL)~n&9Q5NBal_-O;9JR29+sQBQo|?MgC8Ui|f9JD6Wq6e``AQ ziqT2K+k-(81;Kd`t0Jliaqqs~V=enkR~@NQ+boF+EFYMjx5@D)!!J? z*qtwy&iy~p*r5zWRJ~M?CzS)!!`8LkNBcpfC^7G)()1IPiz=>vsejgdsL~UgR4owu zU#cuz2uSyOF%ww?U%Dgs2ukG7K)-#jD0#VnEf&LGp3?`*4?RTf#hM2B)71c$A$o{r zdaCg^amUNeIE?)E<-SYrkm~+BaM$on(1Xh5P@AR}g|L}y_ZG?bZZ&_JT5JJyrim># zO@^!wb@<(?>f-x|Q!n|L8zP37xT9)`3*RR;yfT`CY5W+Wy?K81TM#cW z3b?UaMjF)q{Aey3ou?%BMo3Hx*{9y@j}Og!`z9}ok z$Xn$YS?9e~AvV98!u;aQw+0SgZ#7FYIKGsI61wFOFu*5-GYz{7n=5kJLH3+cf1DkC zmdY22@7`*}J59B3^UO7f(1JvpW~>!U-yY*lLJ(U~f3*##Na)s4QzxKsZE9NZF*1!8 z#p`eTHO#+szDDTpG~sI{6W^K6){0Bt8PS*6;4Yk0wncBqt#miu&yQvI`#Y1|M3+d# zF=^8SSBM4gR%=k8hI!hB?^c5KgYWh$UW>R2*^z_?KpvmoF|IGh5y>NbocQzIcIwM? z;{>sA{{RSb-u|P9O8H)fI<1Bf&z)H9pKkFPap>Yzzn5G7IqE%HUaO=?m&5}KT`ZQr zH?Cj-VlWAo3P-0JB;lJ$niIt{@2x}?4}RYhq16$+0ux5f>HKo2Qw`%Hh@D>oFYd@t z7jqW8MhUumbosPZg!>)x&r*{C#`F~nJ1nKMX-HEP-2gO!z$V9G+kt~`mdsp^zHS!} zi7($T?Rx@RvyQr@&K#GE5t5v3TKim1%WXFgi<%F-J!!6GH)!L{pMzEK=ky;+y zLy=gwIz*@u0Jo1$`}}P&sug*d+_#(Y`!@aYw^Pb@qIGL@!B8rgjxS z6)Y@^&ZlKq!zZJ2$+FPJAr)!3u@;})St+)BQV^lF8`S-Rd`QESy?}p&%5MUu@`t4h z&0{s97R0`N6fY0(m?EtbJ_jVByoZj5G1A%2(~9tXI$_e=Ad)XM^LlhZxDX&~U#{#l z<5UzWI%r|hBh^|RS8EiohA|a3u~$6&sjK9EFzic25bOieRR-LPbkq)hcT3xOo7cKo$eSp7?!>JaWt%aeABvRF&C2vD7 zY|UF7FxF7czL9CZnrK}<3C1=fnq8J7{4IFc(jtCWOVhOu2K9xtZ)gv5k$312#i~_?VUqgH%=+y*K_Q_{Z*k*l_p5N*DbQgC~r> zjm#e(W>HmJzML`22_xQlrP-pVLVn&&jQD3+wbhUGvg}}fG9tjJ+$FCr8yAq@EiZCg{(O1=)$;eE zx1==7U%)fs{z7@-SK^%?f^*&Yus+f~+YimCS;iBvj^5S67#eDoO-b%P1~^xbv3-vY`2FLi{00@jorZ zugC$@4Rq|{wQo4q0)Kupe(+yy-Tn?@HAwEEwr)k}+i93aKmTp7tnsK5>pNMP<7lF# zGDi%bnJRjI*P~`DYSxv@O+1W?;OJhi85hGt7bLdfX{*DFe20O7&uzCk_(x*ecO&pc zz;nMVaGy_hg++p%pYSz?z@_5h?_5=vAt^0yu0pqg0u|yMY68l267|Q%<*|&epo||W)X7h=_*MaJGUA$)aT(Es?{OW+?)lfg0`cHa1N2KFMW=$^)+2uYX;Ob!krwy{ z7E#`byEXP)z5x>-oxaOvmlb4v0nuhuiDQ0l$@~C`(Zk#9xK4kyxc}!eQSeK;`0VE% z>}v7z&t>c?QTU5@7#WeSPV_l3-6qBOaQk5g6&fjJeWl}SoUAcU_Tum9&|*Qc@s~XC zGJh!(zk&0fUvd$8^OrJwOzihx21JH}y!$i`)sAVJbv4bp+Ynrgu=OFY!m#YpGqB4l zyYn{Po2rF3gFzc^^w8_2s3Q3ntF^v%_@n{%Qq?KaAaQl*dsq|so7-F4M$h)pt$Hfb zza=gi31ZM%mq3f`QM1Uk!FRRz*RO@8l80`?_Z_7Mv~U{)_k;&h&qWt6t{0x)dPjCb z+D_a~v3s_K>k#G3L4t#l;w5n;9Yjh09U09%JmJBy9AG458#Wt~J--jLA|}6X+VOii z7NIg@RXqM%PH*Y8kqo3YP07c|c_y_3*rxdYw_y=!))>lzZ7>e{KnEF*!?H{MAQhf2?S3-8@kmzQNiqEHIB5zW1v|`0_4O_*KGoZlDi7R(yAaRsA?IE= z=*%3P-n_@>vBfS&=pQxsFypE}N_1L+$~t^lZ2jXX zd?oSsKgu)jgEZDrPOfpksOl=py%S`(7uy}7B4R;TY32jnr2Ii~PFHDjl(aiSRktxsnv!F<(BtW8w%(NoG&{u4(Wh~DkAy~$NBrB0*Gf3FO z7xrMT-aTcavP<_YY$_YQ$=6hN(dw5PWrO4oU)aK2bx{Hdk6f72WRcPk!lfKNDHC## ziPOsD!n0Vkcmzlkk=fD62Ur2}ex227t5Gj}x7mZSBKEL}Qy_XAW<8c$kJIrOA@iXE z99m4$V-Jcwq>SbV7N8DO38p+iux$Lb6`WsX!?-$74Pi+Kdh zjYr{ljz;H(iB0Uo9Xwz9Rh$^o#G5E^y={-VO=V+7K1)d-2UgyhON|ZPpO-F(JP9b> zAwLO$e!q`{52pE+yr=J!Uwet(B;!w-r8d@&4K&BvSk=U*kc@6pLn5qLo`H*Yuon+^ z?Ig`WX4v%L4kHV#X5H23T{2Yp0gP0G5~do@!R2VXUgHJxAsd^(=9#H>)~Av(4;4ZQ zxV=Owf&9G*Dj>+32yF#qPO-BA>^U=RXMIbar;_ynm9Y<8rBV>;R*LzEogIb@GE-Qe zK{5lZ5Rn17q$oFtJzK3O78EklCWn$x5fMUzi&9uEd(6Bbg)LzH&F@p#NcN&RL}Q!S zOXg!5D_!9SkCHuUiqcjy9hUmk$TFq5_ZZts{xU@JHDbRGPx;wi)!KIQMj=|mg6zXaVWy8 zI!=hgHp$#B-4rXMw~j=yDBuPDxu+hobAi_G6F}mW@aZ@rjgs|t6v3kOO^hA;}X&|uJn}iJ|Y;-a< zjIbkP&h^Ce^0bhmdbPR2$Oc4;y;im)e= zF}%lP@Ay0!8xtWg%b%1n8lb(SHW?d7*z9Bs^A>x@`ebYZVV5Uk6A61X89R)yPyI?H z(b6Xos8=NkGnufeWNZpyQa$YsDMlsLy0@E)i}>#xS$AcU+W=wG*}@8N(tFttlCs zM_6-pl7RRm0*n<{B%z~OK;T=+gbN8Pu1QL~h_Hpp*kZyqBx6em+nS6WN!X5V4ECpY z;s^k}SvN@7(S&`Tj4dTht4$IHYh8OszhrDVVWX3=6@(p`jICr!1ie`@xQYm`Nyd&L z?9pUwHDUXcF@vyzx+DSD5O#PnwwADMghehuVdWUm*&!Jw6XI;a-tl`fwvMp;fk~Mh zOW5FK4A(I29W#Qw9@1vOi1x zINY#zlu0m-okrN`WDN5WG}&bA48pLoOcd};!Y)n5&LZrdL@c6rZX)otL{RTMo3Njg zv2zG37?PC9xrEgxW19(UOUBM4?1W@&3t^E9lEL!{+>wk4!k$mYV78cSBx5)qv3I1_ zCkeQfu!>~NBy6OG!Tv1uUqs-!$%GdZ_C_+cjj(~qyFB)eJCm`?2>Uq+ zi*(}D*4{CEXcEIK2wRtoZ71xeWb8`9K1;@~BFr}|iQm_*9NL=wN72pb*6V)MV730#v*_&36~C1bY`wkH|8m9Q_8 zvD*mCXiUoZcEScGWBu+Ra7r?`gRo`Yn7Jm0mGx-B{NI+1%Rkxl<;E8C${fboNb{K- zR#@~7rqZ~+E8YDxf5)9Y%b7ddW)|nNLbE8BrJ60dELUFS#5cHu`^}NLY?S*w(0e{C z%e{3RZO+DCF@MjzG?x`c-bZBTU699Gjb4R#>;yXlA7RCX54?r?Vq}-nojS7BXuFhlCY^-@j9^+=Fi=~?{<*{K{sb#s?81rHR=DPsAVs3M>s%qLb zqFr8k%a-<)d!U3i`Av44{2F_kYHd~HsxZ_V{KWj(#rm=r%-(MBc-3rmvp#s7K#$kV zi>${zZWb#46r~9lL0Wlh&jnAHhIN}3_&XJ|lVXg|%(i?M9E`=97WjvRiviQeZ@k9m z2r&-jN#_1=6gTWqc`Wcvn}AYZ(XZ8u8E804edey8hg`sXJg`rkb1s~E-d2&5}XogA;$@2PA=3!0d zOQo#Yw;MwG(!la)v5e)j-^@8>Y$*HGJimgPQ13JM+{?JibK=c^Og?CP^lR-Zj9W_P?n0Fx+97)n3>*>dCT#&MSR;WnG~In)k~Lm zQjB3U+d(?p4${s~U{5>+K0||}*P|BkQEu))0QXH(@!&W!@cKKffRP3y!+RKZA9eUL z(}IseDf_0*hXhX|O}P4F;()K8Ge$&3%bKkQTWvE@Y58&u{-<>gCCOy?Z3?f9hG}vA4guG86TC~|*So{}DeM>* zXYd|{Te`#VQ~3Dq@COJ7dL!%dFy(C&!CO%trhH?5%2N6p5JCCHA}FtzpYnP04S$>sseq@%e<^8y&qs+PELjSxR=#vr((`!aPxOBo8*dKVxZG%j2U=0l75iw zOLM-Dxr#Be)4A~w8XRn)20Y>yOsWEF&GUV1s;fWv;uuCA*Wf@!m)BW6=1(}WWhaRY1o8t-`i^N#%1T&F5M2@^uvw@~* zRe=Z|ojm}?)!?xmI|sAC+%6k_c}JdEdjR3qO%jBtD=>cnhtr4yUPF)^TCm2_JvZ45 zTvF3JE=HwMd3C(kWz+!K*AHx>V|LxL<1yt7e1p2N5)14G+dMyb?*}OS^#~<{8ysTyO5G zW)reEL1bvAu?Qn&MiNLg`+ID{uxtm74{%thTq5ySwyJx#w7NF9St^l)FaV*DE$yc5Fs0jwtKRJ8Rk4 zoC_?GOXDJ+ubR$zSR3;?RtCWjt4kDIN+Jc{5*56J1joUmCHO_jf|o@Fzq1a4UltWy zANZdHZv{nM@PdN{zh)o=zswT6GA{TfQNi;ENx_E=VxDfhm6Axow?zf7Ai>LHf?r93 zR|T%Yqmu)S+wE9>6fm1yM;fEZHj8cYex_%&1aEuXf;*vDlu*f`YF!}(Gxoj}=vz_MF!E80V z)=U|~R=ctCL}RXp>|J@dn;dP-cbI1l!CZQP`S=j#tBD(69mrIvj@yxp3~&gL5RQSZ zdfWvR>bTD=t7m0(4cExNO!0a|6t&%IOycALl7vr?Q5UtG`nL*B>g z*%WrEnccv=cr-R(#WBEK(!e}*agnf<6BP+J{vi_OwU@-`Zp)*I1Z{bD1CF`(nO`@s zg@?-);5)G8{w7-hw6{Lofwr2<8{zkt$bdmfcoUp`SjZ>0_(6xs7Jto9Hpnu-A?iL{ zcCn_J9ZL`^Th4bwS^1!5a0s^$uaR(kV^V{o@LY#0M{FNn=t$_0eErq%XvF2ByO=zT zRmN?*oAo&{*5?jGKe7+yMn8gkHPL?LdGjB@p|iT-%#$0}nN*0Z#^=nn!&%<2S4d%1 zf!FcqoS0Z)9vBbCGTHq`ZwyRSc1nY2G$ZY+|LJkC70i`8yt+t%;>sZ<9X= z$#ZD=)Wa5h#N5-w8X~l>rUu(VDYw|_a;^Ic9q;Ev+ocQU>GE7>lhL>y`Cn7w6GQ)= zCyezfb3-%BsKiWNxAK+0KN)W_bio=UpmH;9q!|U~ZOv?0(RV1CyrfaChZZ3ZjhA3} zhK%Q8j$%4mVCMzqkQPw>kU)uTodYO0w_vjg4Woq(?t|&9-1t+2D?ul>nef_Czi4p0 znKu%?$xuTq?x{4v0I(ic6Q0wMamODJ7ft7+xDzo=NlG{#hU@9D85rhb7iHv&&hei#qR#)nCu*}9biu6q zr@xE|lmXca&1WX6!-5+j0lrKQm;Zo<6pveP<)a2qLR4(u_)gTU_0_7XK#^HGn&nnY z{!OtSD#|}9V@%dqe)GwujvCF%%50>(1el71-)Tlx)_dJc6!ZPj%w;}28r!!onD32d zg9pAqlisQTb~&`p^FSF-A1h_^fl}~A=7ce|dq}!^%Fv{)X z#N4*xB{{^&*GP;V&^+F`u#mG*CW&CbXlxXl0#XZ$O-7-|kEEcnXSDueg5QlB;;Le< z9?P4a{+Bt-`{Wi z=B3Gtr#0bgEQFaqk42v_-o(anW$`qm$6OqHO4H%XMH^I_=$D(d3P3Z=W5zMBHi0xY z)4Y5fuIwFdJ}?d=ugCmg9Ik3jH2aNbr44AH(RXF>R+Be$J*2=5s$22s(hj4YYc;oxXO;PLK~x?~Hi?qqva{4`M^>OLksBP2K79OS%{E}l=-lWFM_{9O$}k1WXBuU zx2a~?MCPWUYa;V{;sddiP!?!bv_N=C%N`;}7N~vK=83G0u|?+Rhq2|wi@`Ox1P=8l z`Lf-|pTS+`+DU8{W9E~SSOYuKWRqFm$Rj0j)bQ}?^V{HTGmbg{eWx4ko;AG-khIr2 znN3XJ2=U%gXqk7CdCO$h!G@b9Q!sLmFo#ZI{F2u?y>DM#Z*zB!T^4!o@;BBoxFED$~W4+BIXUZkf=`$0TL{b7d z-4fA~{|o6tU&4_k-bN*_3S5Rq=hpz^9reLWqN>1lqWU(G3YL8aS`%5gd}47$G*y_$ zbhC;@#-80)`!mSMYUdx${OV0M^Ns;nliqMR8{K<3)VlB01J(4ErKZ$bY((h_P&8bF z28*1ZzLRHUUhfg?k zp_WXhx`%x0!x*w-^8n+}#5*Oi`P>{fIajVtjzL0eZBnOVabi}rvLTgHH!0}B)u3G- zWmp>^t3jK%0b-ur%JORomA^S*2?2Fto@V!FhYdtn3<5*o#V^UlH2YdB0UR>3Y7JId$hiByk`$#47KWe7u7edn~!d1{j=pF|KcgJO@XSwgXWd(EZ4P;+C{gi zXCO71`rdZtV~}I}AUI`B_#>7btW`ia+1>Fm> z&Kv-7+A@5w({H{%4`=B8%-`lQe;z}>7g&y|0IqM-w86Ad=5rdAH;EHoa1URqRIOqvqKMSJ~E$`%DtkarJ}m`mJZJ6U?f&~ z+hR*yx*lR(Ho@GNsZOlyerzdF6&htbg|oLKX6~=9OQX_by_kuJ6c_yIa~oibqTPdQnW^xNJB$ zj|&_x#lZp}vp6R3zfXCvz>h7K0)MtRQD7;MoPGRYmM_7M*>C1J{7h*>@0%2*FWyBt z1JB_X!9R0d$MV%nF`H48J+Q-5a4Ot))=XtQ?&kFb)_2^j38V3BHE&$PhUEQjQ%!u(+g&Tk$(41GWTG-XBY$161DO6fi#-5+ZDt;kVEVs~3p8fs4) zt|^-~44b4Yf6Urdou%YY&jbA^r`@e9`3)mWvXs+H^Hz8j-!h^v0-mjmS@yTmCWYg| zP?O?t(zpF*A6e_ymGu52OLXN^J~C3GDYs-13uf%Xi*rv%e@XqW>IG3oqb3iVq$%gu zQpQT@u4%DfOSDYkJ5lWyd=WHEWQmj1HywO#x*bm?6 z(iHz3;!uOq>&lmvJg8>ce+cS18wcslKl}fvjOiHu;!;88ZpGe;Ubqv8=_L7hRI8E8;M6y_{mpW>7X;M^iTA*OLG10px$AZC7=% z^0J`PKUheV_HuGtW)Zg!EdyLIvc#j@FFDt=QtZ+>yCRV5^b2JI)Ki(VV~f4 z+7#!SBGqe1psX>Jpk@KN??@4Uo=1K=L`2`Wn%tH1$!#wocVw7S zsj{EAM~Z%}>9=3Uw zlQKIbzDVM4&m?x+HRXfy`xf9oS;n z>l|1mxfqdq1>9AGWf;3Y3b)#D+JXn>C3ox2ENd|BN5fH8#h{1mW$0mgNA&P^U~)GP zwg#3gBTJxETE}oyT#H6o25TQ|3^XCbd@#mH8SAL2#b}KA(GTTk8?mk_tFSh1u-W*s zzJ0L`J|xS>m!O{{>W*$SR&4Vzl@rCBpw4PXBco8GfyQZ7pFx?er-6oV*$>|_J%s7vB&R#P64Akb3hr~%3Hc_FUTz_m-S7x(m zW$U)p4Jz$`7;{jH#Bt{V4A^l z1e4I0t$=0Nfel@N+CVB$0gbRHVYvCStrJ5R__EsxxME&SSgFB3f39CyU}P(4&pt%M zrx5md2Ua%`XL5g?)EsGU|Uw;Y`#LPTVfsBj7&p$G6K6}3oPVa*`WD&4oH|a zb2%*SelwTD#zrl47peh=Hadp%fnf;azq}ALunj=jt_J1wMJWH{hjIioyOCXjZN`^< zlRvZAmmSGmz6ei@9oE3OWqDT)hE^I(ZL;5fX8HpAFr8B`yNbudZ z!iMy0Knp1w(i_qXSQ>LBYDq(WZ*GuY0$_x5L;5i`nN1>^0(6p11xDSXSxD32x^Zl^Q0+mi#Y~n@c%m=T99BrQ zxF6D7wvcG>Xry_pnCN$E;CXBbIeovlA>EnJWA#MYs~XbFcmaEyXcKuUU{4YmD8B;s z6j4iG4V@OSZG@jv_66)2q7BOQIifceH4)`2+ClW(1JcWjL>H897tsWj{cfV6M1|}P zqCXyN&>;IlwvW(EZVK6cqF1Oeh3qY&$Rf<5kR7Djn=>Uf6CI_tSin9a%b>c3^w;LKLa!8l^j0+mQY>=zbs?J4(`zMBjt%vIfeVgc>L>1GNxk!pJ`cS^PrO*9WPX z{Yf-(2~sIDaU4)%ys<6nnFrCi)j0I(;Q|uWy$^JfJ;pqV_N;42&*U$$odZ!#={@*;ZHBs=yV^;<)CCY zJsZ;VfF_gWJ1}97vBQcQVaOh1r!+Q&lrMntB>RL-C8`GHNp_E2AUZ(f;!pN9(RoGR z5c$!7`jcHD$|K$1>>9_(6;8zX_e7zJekAfxr2RyABpJ)ic?(f5a?1J7M42?6;g_#u zLPfWU-X}Ml|A*)%k>GcUa)|W&Pom+9{vzs2WafWsgwIfhX3hkbdqf@<#=><(y8|Uz z1or4(BO20IiH^J@(M2F{=<7~ISLY*j;a!O41vjME!5{g2iF`+5x~@E!D0f^#dNI7J z2@x7P?F`dtI}hZsq)deYwNV7}o|OGtn&yMCXpuVG(ofoZ2W!s*xZxFe@OYg1x;rlwKe`6@s}OV6I^#+S0MCuR47b# zv_Lr6(IVk2NuwSu7A`vM!`G>#rJ^&DEZPdu#V+AW;YGSVRKrJwjR^iO0R>$p{E04; zm(?PWsF3PgC4z_oO=!7Bgb*2=;c^vkwpN7NWwB0#lhOq3;mtOPXggh<=uWx{IQe+9 zO`-=;Id$P?(c8|lK_rk)7VSxqMC3q)*(#EWwzOv&xOrNn*d^R929i?t;d5dL(PK17 zUl7BHzNb;LOJwS>(^>+s(_R%16ZsBBdJ74r_KZGQ(8ECYyXlCSNM4eloiuNDM$9Mt zoto{eC?ayD$#qUFBub=aJ1-U!jU?R{VhNEayjb*R7eoosf7&5^DV7uUrk43uR1)Qr zC76xRJPbcK7Q^#M_9WTMuNxHclLFLUA-RL(BUI>V zRG>ozSd`{fC==b$GOSMkq#BfgVQmPNXzRo1`m=r*=b?|wh6L#J?CHtpK~7oN#Pw`C$(BB` zfqJ%k@*()L$Vn}*H^8LcdqBOs;7WU#S(Mn^JHgS&YRKJ`WtjMM06P70N#8&tdmpsbs@I@0vduU&XfyFN6lXx;6lscL{_?mp(`hIDIuX=Fo0UyeF$KJYgMOS^&+94ZalW z@kVkf$R#VVYihhvRxZK*-c5b{h&#r-OmaaehKng&Lo$P6TKpkiV{SAM9`VFsg8gJ; zc@Va-b^a*N<_vdCFYO)R zh#$DaVVoP;Qj(pP;;{O10ZKiEZ!W=bB!&Bv z+)k}^hGZ!Gn5U6_R*W(}6P^Aw67APNjPfGbq?gW!#ZJ^M%opjU`@wU1>1iK~>7d2n zKYkC(hFt@R9}id$vUDB}L+pt3(t9u@jSO4J$QDmQIeZevl=nxu)#JRbCv%OehwfNj zunpwJxjR6f@Wf`1-H6g3W(sr=3{O3C?vL_K&pluhP@`d=#nzyV>w{fT4t=a=4J50A zFxl!fj0x_s4-(YZ9tIgt?jnaCfp8PFnV#)}v@xt-+zD$8^CS5XNY2LiqR(NF7CHrn z?dZ}<=q9M}`T(&1yli9~OrGko$3do(>;f{q^tS*s+5|H=z4W6^C>Q4AWa|+-GO#Cm zwf;#BKKK@sgd_F?8ogs+)EU`NBR+MHk4MWfCD^1xXQH=Iim#?crW*`W&hlV7^<vX1WKPyD33;?jIQ5O%7=A)CJP~pWFg6j`aeLSof?RW4V2d%#N@7(Pal}AxiQ_-tm7nIv5Tu9-s$Yv|qIFi5p6b`2F=M)}5;T8%{ zqOcQXsnw5J0sUJ+E{2i%RSGYn@J|%Bw!j*Na1l5 zeuu)3Q20SA^k38nRtle^uu-Gd*-wtnQ22Xty_I6_k*OzaaXr~x(jF!4#}pqzF)JzD zkJ@7|#jGdS<6zSDWY>qGJWcX#vU!(A)Vm27e{wDv?esvn3M0;g&9WWp;=xYGEQBc# zpS%ZFx^N$U_C>fV#R;`u%J z^h=Dj_GDWVQ1+c^busp2tKF<1i&vxkyWXdqchX)iMtF-9dr4NV!7}2*+MHhcIX!kLh6K1kRm+ZBQQ z|7BA@tODMjEUTYY!TkbvnVtsUxc=YwIvc`JCde7jfJWvxx_(auPtaO({j9BItug<- ztXTK+RQGDgNBYB!{eEs=wRuY}x(qgbLBa{k4|D$87u)A#yPqItWc{2+VW3W5hl)4i zJG&TJ`(7wH$>KHEVEBW4HEJ(*L)q1(E5sN(fK=y)&EtZDN0+)Lq1;=C^7oM7;QK|1 zfbc&nJ{(3_d$;bv9;~~lhB4T_URTMdW*(b$z>p+^;N#v9eOjS+ujy zf6@Afv^HSx#Bu9kP(N9Ra&`*J(|u5$Ekk)G7v-1;l-)v6_FMv|9@Y9|>c3pYJGl*^ zowsZfcF`kn9D)P?L-pp>@O{5g^#QA4e>@1QZ|hK5T(AZTF6H^Tn;?wxekIiOp-SMO zI@xY3Xh*s}Z)bxCgVu_++O+yNE!%BpNyFHQM!uSJ^?$DBefs~;!`$eCXZ4Y;|Kr50 zUs}a`SpTb|`eRjmqb8>Zo{h09+l9iTDenmK1D#js{W=FixV1jf5H4KPBh<*|g8aX+ z8QBg0ytRof&$wmbtVU6FMike@vCRs5&$(sMu@sv$J?B=NdS`Y*(YK4Q>zvsXc$oxQuxET83T?-lC7DlzAJw_B6N)Yq zeW7UJLezb$=unl0__xB3t8N0pO=jrS?BzB-)SZCLgkR zu>Fc0Ccg)CLebxSPP%krUVX_YwM8d3xQ&8Rtese68_o>v%*+q8nl1?SW;sMhI5w$` z`N9{eu)b?2+|=1vilQg#e_YEwCw~ze1Yb@H?-2VnTbFNx(C>HJ6%7v(@xiqeP^djX1DBg z$!z4{`#w`x7LoLs!d`CE!Tm)8*B>kq7h&mB9}pIOIy zX&*hr*${SR2qtW1ONjndG-FthbqMPW?@eJgnLn)wVnbOjQ8T+$j8sA-Yd@5g|AQKp z<-Ir#mU|V6xk!a+GC!wwbKPL5DiN)lvTi;BUq*+qGuHyBKlgu{C%aq0z|AW>^GQU{#PG}n2s?weBAK;P3X2VBC@%K#L8(28dV;Cz@ zSd;mF=rGneQYvp{23d!*jC3TK#R!%o$vkph5F5c}OJalTSFMM-*%bK73a+lRCVU+_iWLz_%M5ly5<8!L*dc@I;lrb?mgAWl zk+ht^nkBLG8kkOCo8b2$T21rV^F-2gCd(Qpb%Sbt37yI2C|a8RN9b&}K+*alUDzD< zh@u+M&1LHq4Fj6Twkqlll+SJ|I^|yf@&@jt^{aIWo6oXxWKPd#Tf++3Ek#SSUBZgk z!iQURwy*_kouYSrL&Fxb1FgCdF=2~X+5~A?I-+k_F{@UzV8np1rR-3y)O7?ZVcRE5 zGHHOz*foXwfR?ihQ>3!@h~Z%x^O`2fur4dCjFl*wHeym(Is1CL)Quf6H|$XsoG0n_ zf<74%@)MY#ZB7RLds# zUj#a#XhrRd?Y6VtaA890qOZtcJVf_}}&;E5bm}nDQG-i1C>#W;i)NNuuW3t2FV7C-) z9XCDvO}2HJ)NPHNAO03Afe+-PWvow0_#sxLX^6FK+U$z(cbHqLr1iFS;mvG2(Iz%E z@s{N%`%}@c(NBbbz!J;QaufSC`kCOcDgUvBs<*&Hk;@O`=fhUmkU@f z_^;WJT68J=BHKo~a<&TSE2#qAu^I1&e$95EPGjYCg1W@^C^xaIe+s|EcsaRY=;j+1 zK_o4|Wxa`xFtq%ZJz{5hneDc-yu$V=9cFliT_uv$y~=(f(wZ46uQJa^Fhi;Qj`ifLShQc*j17_wuW^dF&CKl+*K7t3fwIzp|+c=Y3v1`mf1IPzwq zGWH%6jq}9kP*=vDsk*Kc{FLv|-8t4{bx%ra+ zQJp)V@-n(9XPt^UbLVeJGEZKCy7!1G%)`m)Nk#MAQTLgm{5YiRigJnmRJ6z&b>`ie z!x8o{JTPhQyqU0q<;Da-hU^uo#Dk|h&sUTUySNA6uIT-)@P|8onW&s?PY(0w#P`06 z=_=UYaHQ_Ux9&kIXCD#`eqGXDxD2Ed|LP5-3bryCNaMdLY+QI#*NJ!7E0xXbZ|XYp z2t{85S@~c^&jWSgQxv@bPCfa56fK*5KHQT>?~`5}#-!@K`0O`{Xr1xmmx<&Y@#0$E zepDV|`L&#R@x?^tEPgrCO9!NGR~=HbqBT%%FK&Jtb!Dt5Imqh8uN{V=KzLZEh?af!VQINGaud_=R z&wsH?*N3wc=<^8cSa>)hk^2%gvyg%#5q)|06SV(Hr+s+>Da*~V@H(O|A1+NTMesVJ zFQ01XrZ0a~>UdxQXAkhlB$3kx_)em7wiS+=4{*at%)XiXcq4f$y3-FS1qkZj%qPO5 z+5>zbDP>_E;KPZ^n5Q%9CMr5(I;wks=Mx=aPmVYh@c=*Yp{(K8Nrl!V{ymZOlFV-t z$-$P)Cw_#Mwd~!QQ9PN~A!%yCNamK0QQ4XhP6zN`x%vEnDnl~=SkVsy3@n+Sla}TW z<{#Dd=hqa?UiW20e;#m(yqK4)d(OE(k5=@jPn98sf34_l(otOs@Ae5=Y8B>w4fI%_bRG_6)}ZpoRR6K1>68#xuV=5 zsrnTD@>$d!v3$}6>5FsHQmbBz__M;zYh9phe<(T(x&geyd1-nAbOX4TqF+X*>Id@h zFQm?|ZxDPYcd;Vx@G8SVepwQmvtXddKz>{4?p2|so(@VC<}58AO`QmjSnxf@Kt5Y3 zUz&@$Qbi9!4F~dOMUTR|K9IY9DYI{gy9wl@=+?X{!yq24Xf@2ALA?J(X&DfMv{;ct zl=gGPAikAQZU}?;$gk1#2*Wjh5T8g?!3=Qe(qR7iCDh5iVla36MpEa{AkfVqI>K=0 z7|hva)E!~CSETZ4N#;n8R6TrJ`3mZq`MUvCnjw{Y5yI+~h{{r;3U)s2PDCo-tLW3T zzaob4TZ)zrGei#M=4UNRZXukJ*nebAzN8}h@@q?uO)i-t7yppJbU9IM9EZ_bk>X5ocj^!CQB^|2{ip=4M zZb_O6OVW6LQqe(pr8J&jAS!2TU`ZO!b6TV&?v&#-e&J`tW{&To#`DXH@Lkk+eoc`R zyowsn%YKoTGreC69nUL?DwuCTm0=?P`ZnsynGUw9iM$)!LxlzX&xV`2iM%&aGhYL5 zF>`sAqQ{A}YYOqDSuRiik4)$@G%7NePf^r)2`1dDC^!=>Xa9zl<;LSlt(5xygw&NFmUhi~Vmb1%Ild^8Y$m#9 zz|S%R!fl+O>|&IJukNPvI7K`Bf~?c|Y_rro4m5+ehd(c11HTb4JTi|*5jFFx0i4a^ z@kHh9S)x9Q9IHo1&fgV3nQ@uw@(7;-$70;K!_r^t`Y5j^lCMEm@kXhmKiaJ3XO(VH&9TVU zyadj8SkOT=rz6+!&YfE6Qe-W^LsSb_S=W#C-oP_Dw<^Dn+{iBy)v}0gW4$+X1AHh6 z-QauI$GAI@JW_1o(UO=uSZ?8C6n*D2*86e3+%Dade63x&tsH(YgM4a(YHmkv<;@BQ z)%+3p6u$^Q{W4v0hQH@Dptm5cL}WLz20F)G+tGJOa*fP&D(mL=zNs zC8|~QF44P+wh;ZQXc18mY)a^5YLtdfvlWgaWtE~kk*GUFB%6CL|H}sxR+!&{&3G?& z>PE!A7!e+|m!~P(pA;Llk57|CWBpA&_a9WQEPoGo)?D7?y=~G>URpxboBXIG^R=*4 z{eJ$jBCoU{wx55es8@WZ^M2mmm(o!d`{72wR+Vgc-igZz#eH-{x+9tyyr;d9~4$(6@Oqk#u^H&uG(ec97>2HCvYE z9n~G=i-?X`F4YIIgBo9@(0wx?-=gRd$nYS4QPG1XRfdE7pd_AKVgSp-isFC{@n0pG zuZ%flIn0?q7F7O~^)Rm{k}Jev{v=Ve84GimYtOfuf}6v<3#{4Dztp7fa9<+n<{jQo zk~wn9A|)O)c0!r%3A zsvQjd)a3~8q-b5%T>bmJACVk-$9YDKv^0zz5p|qDujnPW?5GcUWOu2Ha+@CYDW60n z)1BdsO7~p1{HQZLEmm5d3E$&zmY2lR{J}G08TA$Ksc3q3rSWUtzm0Z8UE&iJ)t6Nozu}7&H3NOi-Fngfw~76* zaF4@fey^8w`uW1puq)gR{)mVzvuDXGQCE4TqIDzQjQWmy_K~{3*LF8v=ZT7L0e#PB zv{KQVQ9tm#iPG|~(08JKO17as1}~{0PTO9*e1ZA`5i#a@MWN1_=X21^$6Gz zb(_DcD0|Eb-LL$pqNXL4#$S0(KWRBS=XBJ6`16W31brEGhhI=MDD-mFA6%a#Et3LI z>;C4RNVxx<8dGWHB1I`LO}-H&M5840i!*=U(yG2 zkit_W1r#Is4?vP5K_`Z!k`A^bSJ2HQ(xlQL7As}Hnka4%ZbQ(tjQtU0jW&o7qO1I; zGT&&k=%;8^tZ%eMj3JUU#z9OVl0F^8tbgbViB__AS&wK3@r2ZAG}Ro%vr75BIWgK% zyxx}3z?{U#M3;DDG-uAjJQRy|iML-91k^807W5jNWt_!WqDz+eN?)V1m?VjJU52_m zrQ2KC3#drxv`44+k9HPsD0G;S2Fim(vKG$bIFYQOv-p%~B|Btn409G27433Li*6^b zDmvG`F|564QS^~(TC|I}tLTPlRJ5yr`ygedx*;q-Ot`buu=E3_Yh`Dl)Z;&uXH#PG!M~P zDKUEwF-{R??;$1=$&uh8<`Kzm@(_!NRHy`wXn1ER2un zLV`%@A83u{7$`msU5*M;Di!z2QTadUzB&So5fOipX*#`-eBnx&-kZ@5t9L_;v zlp@SNNQ@(r9TOy`1Htp3Z0;a2kCZFfZ){#fapjii5Mg@wehouJJ4sZ- z5Ybubj!u6HET=2N8it5@M6!k+ZkAV~L&ZCG z3B$w(O4q|>Z*-Wrt*B>*z0u*K>v$~aO7;s-gcwXDeMX9rO1H>)Z*-)XVW*1{`BJB` zRP({;DDkN>4RARU9WCxC>g9YeI!26}AhU4pa3s3B(1pjUOlA z)envm%e)GA?>GTh1GbHsIMG4rG#oT>!b>T4PdynOCnhMuCXEx*iDZ+;i9#Y-!#J^& zXeH|dza$wa8tg24h-d69dx#h9EPIH9t(Lg|^%TeLOnZt?mFX3BCc3BiqSX{Edx`Jt zEPIKYc9y-wZ+4cwg)SHCD+}6NI1;Ud3m?uz_ZA(AuuAap&3NHsXBsbp>`dcDRGVoO ze4oCLon?aPuPnD3E=DJap^A<>U5V}^W+?Jw7o!tJzM^XmSEBohHHsGTi(2#pVxz(* zbT^_O6g!Dzx%-JdN>}U5SwHdqKXe}{UB3C3=ziiSBH2wz;&(gCBq1ir5mdu(L?;QW zqDOTXqqSsVQ}`LwqQ3|slG&$-7^SOqJ`$ZGQWY(5;cS4&v9lZ?rYIe={1QDtl-TJ8 zit>L@wX%E$sx(j&ul_^1{~rYREz;zA29}+H;(H=l!-3*I|FHZ^Szdt}4ixT_Wx8<3 zSKvoby@_O%28#ftt2F-}Jy>Wx2&L0hkz{9@DpHkce0v^~Dn{GshKPwux5fNQ^bk>} zXuR_)(L)8?-`F%m3=@ATdfc&H%y8i{ zMfIT=6+J?9R+OdR=r>YyQ*_&LqhGoRCX)4iNJRgGdMnFGE*t$G64Rz=_e(lT%vH*f z9X9&GsfI|FG($XZ=O#nEtSl=X=S60SW<|SQ=S60Uvv!uF#n(#L(-Oo+3#X~F5+h&) zjTT#{(*B1fH2}UzO1YMe5eF3ES~f*58D@#eM6xTg#1m~~0Nn{B4gUCwe+tePZ{%SXvbnRxyF@Z!wm43-l6@os zVzR}jiq`4_V#bOu6crc)V#bMY6+LVUi^&l`C<-&@MLsNkR}{pzgM^mFZz9cb<6MPB&9LqjY;fH&Yz6 z)6Ej^E8QcOyvSMNGdtaE@ukugfo`_=LFwqj{d2@GN;%CE7BffiS=cnPFmr{4XeHYN zy1AlLo6f-Ii8vxTg7U>yML2@;#f{nMMIPYbn;>%};n^o&bR&WXRSlk0^F^>k8qfJ6 zN-1$X=ZoG-hYzaxVyPk=Uio4r5f;<{v{mWw>8L=QRfL5p5O?O@FHC{xFc0aH#qy|@ zsxJ^-BvSST!lsm%eSrv9x)IYx#uSKoiZJ^Ev4}{vQ-RQkRH1T&H!{lrNg4l z7oGDddwhh~0HX`;muYv4r*v4f`C^LF;YoMCcuo-(ZNAt=Bxlon zv7bm5biR0(2oJiAVcLB0nVo5&xMXKqD6Y4e&V!%9X;HMzVP0gBxNDbgfiTR+O2{l0 z2xlUBmR=y-6=AxC!n@7Vz!qtuH=!Iti$#$l?6t+hzvzC;EEfHUWXmiTgC$YREEXe_ z4qIli$Wl6NnZ;s@B5aw(VjGbhGmC|GNGY*rip4!en0>L>v-p1Y#o}WknSHT1D~Yl% z7GEhHW?w9>DII2CEW(P>%OzTZi$yGv?3iNlphhU0tyl~qTFH!t#;{^B*Uof_SYl_n zM66Jj&%ndV60y2XXJAXkb|TrLCE{yESfvtiXUY94l?b<`wExLEl?bn;vZT1mmk2*e zw91!=aHYdKm56zYut`hAA|hFd5}^^TWT(toF(sm&NY;0m*k)(BOzc=n`yV_!=qAK0 z6MO8G%f*2WPz~EY9c{Vp<`oMsTi%O0#?~FF<()m zYh&07u@(sSzm@E?zA~jCnDSh_i}%viz6|@wK9KUL5nNxTdHd zc&QY>x2A*aR|zL*NzB2;lozvF1S`7flowMaQjj!ITFi}M)nc?#j)PgbM$Ax@4R1cy zigHEo^2V?lu}P5wWU)>>PbAx-R=nJr&b&9eR-92Z2p+)JiyL;98^moQ*#83IiEo1t z%kMXEooGiSoz@8tqLs`bN@MCogrd{Bz0n&*Z$(!`Ma(AAzb&1C)r*IT# zxLG90k*8UHdSp$^W|1n9rqgCIQVmO-PMbxR(nU_)43^I+!lAcW>>|Q~8i0-|9Zu#3 zaY7Mh*dSgk#R^`c{2Ih-lDLLry+IsMO3bi9G%F=$*dRKVQ9_!(@S9AG!vz=cdk<_r zNccPXIt+InfZ@)g|JNAZ3XB;$6y=W|D38aZ?6?Z$f0jc3!zT_)(8YU07}K%6S@2VT ztSFW-1N&|`-jq6REt+D?Kc&nJrGSfEFzvx1E-rfbe5{L$0d8kOdwZ=%J!)nAbx+i8 z1OM>rVW`lt97=#ug3VlbKgK5yV@_sc%<42u#xh-81jG2&8rx^HyZ|eZHw7#3RWGc- z#YnWgIQD-lwg0A2Wnb8}H5eA=csEm(=v>b(!O7#sxX;T zB5D^EqHKmn77V_x0ZsiDxX`olbFh|GFb<4lX`(SWYa?a6(k6iVg<$(A85U3!!|K*}!FEuw){ViK zbF%jJci)FEabbgSHUaucFd4>Dv^I$BKl?_IeJPt!TFNkb;N$`PL|~oV$&cRee!L8$ z6fC)ja?xo1$>wUUJ}S1)YL&9Lbj+LF-5+8)wthC+*vH^v!r^=Fn8C$v7@h=eAXp%^ z#Qmj4$Nb%K0Dk2L{rAr`=)pc1Bc&`*#}tf_;i*uw)-bx(u~`$*(HIz|2KG0tQ@>MY z|2OjMv9Nv#mN~H1X=}5~h6T0Cj5GVN)c#w_r4bfINF}9Q8Ea_B)KcHeco{|sOT2wD z`vfw)WIfgrUFg|lnv1d}{@rEv@iLp+R21|h7wOieTBfCVjaKhggkgyDK#Qf34T^DbF|pjaXerkwIody^k^gTgw;Z@K z0TW31@1b-*20j)CL#B1CV7&d%<4mr17_XyMOwaBu!ot1diI%c$Fb1a|&f|6dIJ5IK zQfJm+&EhwsTJ%Tx?--fozvGvCp}mx<`=Hr%EE_hG*17)g-LEx<#=mSR*=PrcU@|$l zaJk3L5%(^+{oSPJ34mHZsg0ot}hKV+2GO!WArSOMu}V#ANR+!yF$?O z7qs5lOBxdzI|+^4IzZ$QlS`~zCgp&V!&AnfYaJB}u9Bm)GBfE~M_uMiV?xGbjE>0| z%2K70xxlpwSWEjt$ngC_;C&BeM13sN?v12Wum>)3(OEZ9{iben&G~E?@TXGUh>ARqbW#m`4vX+&NrBz-32D!In{< zVT0@0=e`&}(*ui$r%xR#UV|~q;P1mQp5gb}VVVtt-*bbh3)?M=qMbr6Q`s=8bTp;) zDjr+9b!N#{?=zRizXaS)TGjH5VV~gNF;Xjst^Hywms{^1=uJxLU&h-H*zcf9nx2&{ zyr1#^lx6F&36IqRj@7s-+?|hU|JN4MvlNBV)rDU|KV%Kmc!!oUA??Ni1Y*7ci`|j*5?VH^` z8yPQSWYPZ*Qlt9Gm0z05uykRc&3_C3A2J~S|J{bh|G(98oPX$pwYQh@Tx4%Ao83Of zK5SoT`*zwv>jbR4xc2?DYW9Om8rhfn-&$#7AOG+0IXdG?`Ol28 z6=jSn?i+^F{7Fu)t6dpB?OQ?Og(q1v;Ad_?y0CK;lf<)TxU*uAob3kL4PL$Ng7*Q} z=@U~<>`Y~M2=|4@{_Fe!=p;JC}YQmJSR#=VWH@qT}Sg)DQ z!e%V69TQrJ@%=>~iWuXCS)YnB{m#{A!38!^ys;W?*Nr!(lk5WWHpM3y57Z0YZK#Kv z?jAMoZ8p_<?%7@Bm%5(Yi4h;;~h3vpcbox?H0nB~F(Ia=dOg-BPrh{9FQApu42O z#R`jb#l~H+D?lEOtdTC8-8TO(NZ>-#Lo3uB)pn;l?3CUY+ov08&N z9y5+#enfxDcznc3{VL;_Zg6j|aXozf`Yy{@dlYK3Z^(Hl$}b+5Kz@~bQ=e|^HFA;e zobkP3d-x^ecYXfQ+ju!#Z5&SxdmWnE!O#NI*Rb2@J~rCm#<8=!U~+b6F?>__XoD9` zU4Lj%IPPNKOf|$)^ZN7dY0Dv;->ux>&qu7PGH_!r7(Q6b8bdt$Eq^ckJ}QonBxXu( zGTb%ZE_umtj(^|lRYNZPr07xjkVInrO&vF72EJt|(|BAL%|rhHiA71I zqF7AFW)!9t_{0A8^`_sBwDyXYzdr%OIG41R>!D!=Ocm=U| zQ^}O+5N_}cV)c)mhwsd~_pOBdn(EdXlT6(fZ!o5tng?t)rkX}9f83aBGKOvk?bUI+ zp)?NbUpMBN#wG4I7Ms4TI|Q=-&<~8O;4_#PjE8ym-j|FGrtuqoGNw?at;W#-7WifH z_lg$jo-#GpH1Q_Wx%eKYyT*^=(@YIiKC3ZItDa`c;5b_vIDWmYfp=M1ZaT;N%w1*5 zQI6h7ZfebYY#7VLoLlpD@X6gQd3E%uOHpdRj`r9tOc((~8UxOA-^4Vl3S3oZHJ{F+0sn zw78n@!XJ5OgV88q6Q7Su0-N!3hFOwy$#WjElv9DM#wSW$=MC^k2TRT+}l0}=U9jd~L7WW7u_vRpyl|euD7%luF}a{gWxz9m<4D$*&F#=BDu99jwOJwP~gXQ*i%I zj*S{+oD2I$g5!4nbOEfI9B;QnqlX-GO+UCT2RXH>!f_{A?xDG~hvw1&no9?0`ox>I zCQdgt3B2z+gJv&$C9>q2<8E`n#{W2;fVA-Z&!gwMIh`gyR^zW}7L(PeO~`KDr+wzva?_kHiL*uNPW$x9Y3FtO^q!HUood;~F_WAQn{%d22YDuHj#I9wv-@(# z2Gi?WwNsP$5w2Bk5_dpy)6>IX%{G12{TZiI=2xH%PMO1ND~;#4Yr;OK3y}RNae;5w zy0dfU0u8QVO=2xWessEIzBJ@#r^{5j8&JRg>^9Z!FlfDpW$Wq|Uuo~J(U{NHebe(w`xGjB8YRe}1Sujg@GfsKWv-ZJay9Mk=IoMfe7c~c z%PPwoRh?Z9!?VA)ORZ%_WROdeZh2%7%b`@cy2R)vo~!$80!l3o<@r@8zk?z+30}O= zrNQF8x`!#(q*-7L=bHY2X=dXsAytOM#&dZqT?)+JK9w#{S(Sh} zer~dSm-nPg6Mr&do69-<=5b9fr_B5FUV^Y|QWMC%btr!iX>!?Z@v3{vWuN7Xpm$vI zXf&+SeF>KnmQnba#a8{P%Q1_Sw&JYI6e@KsDQ1hVTu(tm`-wE?v4 zTxV0imIyp!l~bw))0~2r6A$EYqVmy4LDekbHj)9G`S=!LKXS z>c+yaAJpnP2WxO8r^Btv$6UEXLgZGEXZ)T83Aa122IH8TovyXUHzw@?7q!uEg2YQ? zZZj<6UG^}YP?is9-=V-<)Ze3n%^_=sR|l)ZRL`IeciEq7;F=BNJFBOe8lgb&2`~{1 zGpkYHymz8Cz==bQ27pmkhqsm_ci2v4w>tb9`*4RAOVsdsiPYuq_$TZf(0LU&mn90=~R`5+riuhhRHc2e8yq;@?(?RtP( zQEL_ttdG<+gJLc}0g4s;w7_Hk2~szUu}epH^oR1^<^HtjUZBJml$JJbQ*!Kvj+e#6 zp|5sKBk50@RtjZygY0jReT!v%$wt=((@4+59cy)ll21C`)!4I1H+2Q(z{Q^cNnt%UdIgH+*9Y)VzE)}v#3Y$cABSX+&raQv!a9BVe`e| zR<~@2N$x&w7#{%P$(b1bE2RMBo!mtr50Y$2!LW9j6zy`ByX83)EQ1&54&9cc)Y4F1 z&o;1JhisH`!FL{GovBSG1Pr20^S9SduRQ6Q1_=Cdc;P$ryCu!aGiI{h<8WX-~E*64qwbnH{Q+&fbmgUqk#+kSxB(TJRo)y zBp%x5I_%uPjoNQ4GcFo_nlc#cj@eIkZ*nNEs&Lms!~SU4?cf{ytb2%I51cCZIo$60 zvb&QG572kHTgE%?yB+dpqBdyOhai)a;knbHUGiCX8^`bX9dj^@{?7fF?&jn}n&lX{ zyX)?1nmEhB!%c_hZZ~ptPWLDLK*Vk;#5srl<0_3-%bb!*IQZh1qw~xM=7o9eq1Dri zMu62ca`-?Ge=1yqX-Z8t*sKK`e1uvA;e-8;>UJC3!9&3*-TN`iJa!wS9iG)|rzr6y zn7fs(r*u<2D?OS7-e1!sg5ft#u8W(iHhEZ0E9O7$5l*h-bc?#}^(fOXuWJTNyv-(_ zSt7T&TzB{czGT|sAdew4bFPC{zp@47-*JC_#R7ZU>Zo;{(+T%`uXZ-a?~)gFig7H> zE$MV!rxmV-Pnemf^na{Vs$*5|)=o_VZ`#RrJW$`V#^XA=$z-+-mib>T*vjp2ZMZk_$Y|!yRd)fV#h0qn?Sw|yEr$6 zl|AWF=D2A-Ea9exm?t`O)2lI2RNT9K3UyYRZtKwV5Wh7Rp2%P%y=9oK;&ESX(LLg? zRT)|!>SpIAT3qAV0lz9kw(+<8jxJU`9w-|0IvL>+;JtM4#9lwo?g>Z3BZ|gC~L7wmu$!vw= z=qbmYYb~yKSwzNK%`Mk5eA!^@b$CP7#IM6ka+GcvY1X?eAtTe8YZ(Fy1)NoaVE?u> z6%K}&Cue@rG1u~SNE62;WgNudbvZFqtZb0*_R4Yj`ciAQ{)M3x)=Q3AGuA-(qkeVP z>yAk|O;+x7z5C17BI*>a#c_Oq3pD#~xJ$*=)DeHR!!#n`l-1SrR4sfboti6&eFJwD z)LO#k;92&oO_lIuXz@sJ-0kR8AL^dXT#GGT%4r-_(>PeA`)rbLmogpRVpmPQp3VBN zUZlHgyfQ1Hi^VBn=!0GA$i7jd1dU__*IU4Km)j}J2Gf>R1zmR1VA(@42S_%Pw8ETz zu8XTFvR9__VV3T(zl*CA{y2x#>4V|NEmo)7LB}mNr!RspbUC5JA)KV^5@GO+=Rpy{ zp12;oWvHWRY&B`yCJgheqo!D8P9B}u8K@8UyVJ9S&@=Y06PTvY06Jtm&{hLJ6^fm zZMC~MH}#G?Wwr595?tLdndIDW)SAR+cA1`sJqp)zUNTyRP#^K_yGD~aXN&* z^u1#_tnX42Ydx%g6WXc3vSZeHUAj{@xQd~`G7o+>ft!9`Hp$zG3THJsYpZL$Pf$Hh zKn5>a3M@(R8%EhqXA<7mHP-to?JvZi=; z^(UKfX~UMl&&lkg>AFvUa>8)O-TM5P!mhjZ%VTa?a!tqFwj1~9XRlx1wb&_tq*mYc zl)fclYuA1Ht-0rQr}S=po`V?24KIPzQP>B6COF593Lr~)-6MyrNNlY(c|cyLZ-8+=ZdK3 zN+>23GIp~)rOS!%wBqE!81ec4)k`7p37*T(hhy3MdzO*=BRh2SUvo;s6EpTc{X%VKGt z)wXKNxJY2J(kLc{!rS4b%UPWsZ5pAVZo2JM&}{x((Q(^OirGUk@T|P?dmHp_&)c@` zaNdp7?a|}DzMbS{vBT?{W1SvkJ^zW@pc8&HTt;-fLGm`qdnBExm!06`4hxAMkI^Sc z>J1n^W;sw_p>s0e9_d6~hF4;d{wxSlY9`cb%}Fc>;$~p7;%(=F-8n3v(6M zAl$?Qpl;l`XTc#~i*rif4}5c>h#!G`b?6!2Y@_p}RQ)-T(gU_C^QEFcd~MF~e%Q~A zis&k=8^irVoL`LS>6a_w{XYN?z6-Svd|jQb8y|$I8QoI-EY6=~f8gsz4+vfcoabH! zY`g{?o`IX-M!nlMe<;w8h9q+XylBdxbDl+iJpK;6|2m#~$C7ROA!(yuIE;5UT^xCc zBM*s`%Bo*8^q^k~h2x#ehMm^@lAMPuzvh?je52-PKO6KuyeQPa_E1dwcxN%(#XsKp zm0%Ztn|>a|*z_YGvO(D7AMBq-F4CRvjg9j^2j`kh|6Jz};J4u}(~;#K$p-x=L(h2O zIboSU4$un!7X1QfMebbd)97z;zUBOZZ++KWyj|Eqh_yI}yF+7`ZuARcO@`C?hx`ki zm&6|NFLuU-uE6PZymS+oxv=Jr|Rr%v5^NzdhFYyp@d$xELyr%7x-bB^hzI*f?YD zEoMotvUNqfSxMn8TAf5#p8a@|54I+G2Fg2CDeZ$@6z{AW;-d9V=V;kaSNamw84_Le zp0jzZi&%1cl8Z(s+np}TOE$i`#d>i{Hq32on(mw^vsK1|HWnK1bjcl$vRO%!WXGy3(Vp>gYTsk9r<6&dQU_Rrj7RZJuwm z-IhaKAor?r)Dgqcjv@LCS38Re@+?)ol`6f`c%q#RV0PmG4h7O`*-Te@j5D&8zDDKN zO3C=AJdfRox+BBu)e$8b56V_^o@`YWNqt7{9d*&?aD;ihZ{1--xqH3q70f)SEw6@q zbEIF#9fg;b6Q7XvClykAkK=H9LM@!R$Opxk)9{hJM)=3@kKy?p=ix_dKb8GTS${fA zx_f~1$nfS)zn{^9`UCfzzjwAWGUZ8I`pS!CZB(jGxmDI{hf5bL3!-E_QVlUr4Ke-~ z*U&k;TK2c?yaAbAcD_%Ro$>Rex93XdsrtwySzn&ymYXOb#Jw$dj=XS-Aw#y^(sC6|DU^e|GD|)|9hqtB6>xNk z6&IMlq!(<+834~Nm&WExrWO);>Q`okY6 z7V2yu^kajdKN}3g@R5g{BG@n(#YVthY$S|kqoAFQh7Ohpd$S}sjp1D^tk#hN7qe8t z2Y^D3_4gix*?5Z|Kl9z#VBR2e!KG|6T*lJiayAvNVAJ7BHUq9=vtTZp16Q-h;2Jg$ zu4N10dbSYeu}qlH7Q-i57A#;nu#hc1+|D+^9jqKyvI@AHZG)e&9nhce zgkAVkuq)pM1Gphi7l`QtoPzjsup8e8gZTj%f*AlDE5p19!}-fFg1-VI`D?H@KLq>m zYS@<_fwBB3ypO*H2lC@Ej(g!CUIT~lS~!f?!I8WkLr;MCEs0Ei3av%F0WRjJ;p6;$ zn8nY)eBKBP_;2A>{yVsxpM$&j$I#$^fcyD*SjGPgkMU383I1330skBPkY9l3_(k|J zZ-E#1C3ulvA?~Kz{3>?t@PEK5Sg;%~9Purjjb+EN9EP|Fi^VNiivJ_TAjBQGU3?D> z@h`XsQxY(b9?N}msumhNCUkg0_`?R#6`mG>@S5lbuZs}aCb~m+JC@DPqpwX?%A;>g zq42uN25*=mV5=z#wwa<~yU9TXWYMg!hZYLMwEi$$i-3_@6zrwNLc8XmLh6fTA@xJD zknTgWkm8Umr2CO9q#;NaQoI|{B6y@zBueV`@6 z50R{-Z;-5{Ye-hobu9_rK(dlrk*uUPyailI?V7`lxjcK#R=D3B3aiX1@C9=Oe90UI z51Lcqt7ZpP(_tj5>DNeBW0{#0_$HFobPUOAI)P+0oka2^{ea|2B0UtEbsO~4BVZRj z3I^!WFi3ZhyM`>fm1?L5qBRtzPk`Zw)=(s(HPlOYK|7+gGyut3x(~@(x?jh#eKZ8g zT8c-qmWJ!G@Bt+2X$q3{G!4mmnu%mR%|^1G9!0XA<|0{7^O5wqDFaa-Eke{s*@*gR z38Fq)hNzELAnK!4h>1$p+epWCN8U*+83-Y@jVjHqcfi8)!R{jr19kjr2K^jnu49 zhF>7rNM9n^NMGq`@G_E36!SHrP4o?-O>_;>Cc2Jj6Wu_xiCPhDqBid#o#!yN$FTbI z80$?;z?8Gt?!8%!G=JVdcIv=;n;mtw>dASzyz}5k#sS0=N2x=m&Nlv1)3*VWljRiO zpKh1?_Q&M*|GsVZiY|N<9}y!?=x5kFe2{pD4-;#H60uF?{R3XXAJRd z$>z6hhxi5C8uRe*I=W!1qm1xka|Yh;ylQ8D@n-OW$vBlX;nOw@HWA$psB)3Q$=FJ`ezmT%d??uo1}`izku$fK=k zem%4p;l#(azQe2izUbrii|AY9tq$a=y3sF~2OAD6e>^lkVZjg@Gk)Rng;|TIEL^d6 z&De|u3*r;JZYv+|Fi+23xo+7aZ}kJbe|DE?3o{o#v~W$@6FJ@w_wy|?gFi`6sJs%a zKU`8V@rzBpw#IlD-Q?c}uv}v%7lZq))9hp^$v2sQq||2b$}AM3R!%V7syUZt?ux;wTCa4tdVp z?Hs>b)Z8uVek?XvRpC7rD$ZkE-mNzA*>>VHf0N$SGVV7ZQtqW$)57&O%!F!8#GC@3w|uY8-gE# IZ08XD-(e$7^Z)<= delta 74484 zcmc$H2Y6IP_xGKuOzwI5>jZPC876TLV|RWqFl_PD9i2!8;TW2xrz-H zQNarKg4h)ivHMyOd+)u4?|0_zZgvIV_x*p*|9ieXPv*}2=FFKhXU_DwcRRMGc5F?( z=xi&`%3r_nynJ@u#+zrdiJY;@ghj_$q<*|a_V8J(lHJ7Du~5$GODq!ojl#byB}n7e zF)90FCNbmDF_yl&CdtEP_8Q-0En@?AJz)KUbAUVITraaZyZV)6Gq#vdF3s<@m`RGZ0mQSC6Ri5;U299%%F14V`c1sE$5OVJ zA1Ke}9pw{+Z%HI-aK&-#MxIug&39K!~{W3<(wktD0Eor%D_0Qp_}Wo->Y-X6i; zjG~tDVoxDk%NspQ*hTy%PgNVq>gq3Rj}ix`nQD%#Jw`$QzrZ8A+9o?pe1UAyu8u}{ z6J@7U6X_)>qehknLCf7G$u4V;Lm?XH97|;&LDpwW5s! zZQwCDt_8BTgKzOxuv_@k-W#DXy}I1FQ{*=ff;eh(P(<>{+9JNAx(FqBuKMcc%fdXn zM22LAVab9JMsT2_B(N_t7`satN4kv*{q#!sQs1scAZ|ghOrRutS@67ytLO6wvM0MtBjq-Z|`*( zdve#P-nooD#TWLO%TC|*c%LT5_U^LwTg2FUzOu<2pjw6Ak4Rp7{S)?FGkd*kfk+z3jN6X>AY&> z*(mSMk^Rz+1AI~@nwd98)+X{_N8Xva0Z@wDrs($~lN5_5$)bmLJv?eGW7~Psn0mH@ z4;nL$y|rt@nDLDNGInwr8kXtEv zehC&1W7PKZx#OLoWr*@BrI1Q;Wva5D!uoPJ!CG0emV2EQK@FZxhj@1Pj1 zPLkaE2?!^<2ix=&@T4UA`$1m64!^zO7~JCD zp&0E&%AdJp&4BVelgudXVt(huA!(>pwZavJ*rbLKQF+r9T~Move*71e(a(&CY>_8vkDyK_yIPU=n#V zX{9|udyd#_B|>*qgp_|b!@veRJ_BH?z^VL9oD$aLSYP4%BAgCi2??H`60Aa5pTy zJU9eyU^{=irC06_!W{vOxN5o5sr(Cq_-;iYa2t2c8rpLVSZf2&lQ@#~ZeW+t_cC-`5~O?V^75hX4oWfXbfer-%Px0Cuas8xK!b9GD9#C?Xo}g_*_1g}HdM<3N*31EzaX;zy8I`%Mu(o-``xhJ+O`jK7 zq}k8}REy!0#_4!grIOUqDC=(`Vpcf*3258@B%Ib#Y2LDttxHwyEkr9V|CANqvT!1M zn}56Tgv= za!0~-OE02G;F@aCm)*`dWnc4QQk;Y7r0wL z3OHD=J{n~lND8$|Zs^kieRgBoM-bv9bM*J*LcBxBL<`B(E>f)42|(Tl(mKOcXwm#6 z0A&1_1Q(3ZuN{q1&j=&IrzBX5C6@LHQnioDlvaj$YM%no0;ncert3D7dvFE1h;lFQ zwm2#0Fd2C>Xv#YX)hZzq<^BP$UF@ijmHY46)g3)MzGiVLllfJPouLn?Bs(z&cn_Xn zyT}x?-xJ~KR1z%6_%o3)#c0FA8Gjs?XjLSUPb5n0N<=aLkmzJHQK%w#l1P=@m5QRG zsW3f^k?#~URYh>MNR-xwkuSdtBi_8k%jLN;U~| zEBVdHui@2>fs>n=Sqj!tW~S5OaQMCfq<;$s48P-JmUtCArTm@;mUw#Rz^f{jz-eGF zq(q0EOVK|Pw~-Jt*@j7;h_dNF@i&%KumXN~Nr941R6q05rS8x#pcNfPg7g6LMXlN8 z;I9A!zrn%s_ILB~hxz!E9?rmDcxat)^uus+>nqHFBui>hYIRynD6c+D$Pi~i*|s!m zGQ60UqfG1gA$>rPU!io(0?=cVow^ksNR)@ZyaN(J-RN=?xO>^0ybMuz_nrct6KWsX}Z~y z0A~PhHK`KQ6HO``r0ZyO+Yrv+Jx`d-^7y(Fa@cSDiWB^)d16=*Gc*5AUbbQwL|n3> zu>WDG$D(0;Cbft$?l?T@PoskAnQ(&We9U>zsql0v4k}9)-?PFIBANr3_n2EFPThfU zg)8X9BU8(UE4cW+M=p9Yg030996UP6?tRA~*ACLKYr8Dq0J`t4NE)Ne zGa|}7T`04_ROalJB_Y&NSZmnIkf^Z1{3s+i$i6?Ln(Qyf>4l8Cn`C8@G@6XsPpT9> zOIOF@VXl~NrQfBH%~y=o|si$1fu`k0iAPVwNgllzUL30=n0j>1r^aE*7wH-j zeWhr3ZePDg|apDdH;mR$oI(n7D$7 z`DeazR>`}qdLjZ367ajLdMCmx6p<+Zq*<(-pM27psbz5;LgLB&vPqul&P+`?`4VhF0Dv4G-O5i+Hfjto!i}C7Q5%SXm z1JLJ zJ=I&sS;$oM=%N|fm2ia|rNn&O>Y?+hsHCd906ndXyvf+iMPFk@|LjJ%B_IN-=0U*w z=E1adwbL;R;^``E;cdmgfy$VT#-e*c;j4zD_k@#?;;u?h(Q5eUQ%Xi9P^F}3*v?bE z`@tin)6o{?fkj<7K|Up*v}rMX?P+=)unJ1gcb>A4Y20yYU3o8HkyS4#Gs9}PdW)=D z?^v9laO#ZAK0wkF;wziKeyV0xvQ1k^2Hr%ok+-RmDX8~FnvBGLw^JooQ6*yus01#g zYE8nR(+|;s3q;6I5C6SPb}4P#i;NVPR(uKQm~^;>Ce%zGrQ>NrI-tLcN8G?d7$D@GKW| z2a&8r8w|khk^LR8Et9Iqr1m(Epq`}-=0${#qc_vO!5Zi-M`WzF#M5;jq24br>QyU8o`Zzc~>?W!mga$Xo zL`@J;{Jv0GXd;nLf+NxjM;F-SqhM1ABSyl}r&3x$*M-IN)2D$XSDzl?6%NmchDVzO zGoyeRZlN%hQph4kd>tEODvTZ7qU^^&Y3|u3+ZtM|l7yX6etn0BwekZUz4K8Vr6C2d zhFwRcL52@q#srw7_BbzD=a|<9R@xJo|Fr)|L-6_FnUQQ)_1VDf$>Pycn zb_g|#8YvWBw;i$HHk+|#B?##-aNGEmXB77!O>{|KZZ|14Y)K*y!AP6K-&&Vz3LPS$ zGg9rT4e!el!QX(-%p^T6${Wey-!yaAMGUrF??0_C+sVH>t%x1N?WdQpIlTV#c{xI%*vgXZ8D2}K z+h))3W0r4)UT--)pFP2!J-s}69vGMhNIUs&rEbuk=OmLUSFB+OhB@I<>|8+%v~DQA6&`G^4cfYtJfV-T9ugN|}v+aF!cxxAobMc(aOBDj&2yJ9GlEUIE9R z5X4@ZwfzShx_iU|(rp3_C${{W33EF9pVU{p^*^X5z64{mDNEBK-5o9tBN4oL8=k&ly_3U%{ z;4p-rb22-DH=Uaab1vFYf}_gj4W)1wZP=K!3Mo8^4(%k~xuMylN}*;N7HmGBcW!+N z`Ya_}Wr(tcG`{}4hS14C zoLSmxJd$NofkDFbQ{Y5TMo&ec{WsLIwgx_%x$8z=ab`-(c&Vw422n-x0~H+nYbibx z8cUbTg&sk+r@2K705>HI(mMT!?1RAmZ?adT*%OEVB71*77J{%1us)pxi7G%K|3(3# z)agt+i>#D-b<#j_bDNeL6@gg%7b1ip14I~jga`uppNg;rBBVt{AQt~ggb*5sDMANn zJx&V(`JamL%#b!(gN5yYSR7S^i-wqXzz1BI7sBa1Zc~`X7q+biVj(n3dro0)w^6hE z2ZIV0pd{fQWHtD;;tZTRB<&20JSh>&UPp!edy$6zJJasUzCA5VTA7H7er8DPd9Pwu zUc=#>s`V%FGI{nzMUzeg_g1W{v4x`gXiXl(Y|*Nn4)`cd!1c8y0o*V)P`Wg0T7pwM z1HKB2Iq^a-zy2aus3&H_s$varOog%6d?qNct$?|m;=>YA)n;H8tj&b8YB{>NWRR=} z>`AtHT5US^NL25Xv;@x(?HqVh9I5VJa$=H>)t;)I3x}3l7VSLvJWlu&KV_4UW_38U zENIaq&vUwxoGqzIg>}!^JT_Z`c0OnwE}N&DO~;Z+wI%3;xChGN#A%9lApo!Jz?7S~ zWolcX3L#y2npM9Dk>zG0+2YVP@{2Y)axl+VU3=yBVyHN98P#M_-=a*1kMl=1Rxr*# z-q zx{9)Jv`=yH+NU!4!AmkqdWkxh0|wNWwJbTD_GzfmE8AR(?`ocYX-(lZNC#8sO{Q=M z_-X@T1pxX8fX}-$Td61S79PB`F!MT4Cz|<_cUIOi?RtL4rHvS;zP+>n+c0UHO5v~F zR0ns_rcxT2l)#Nb^csHgri#3+NCtzIq~1lQW<{V+x~%2#mp7GWcWJ&QwzSvrR3m2$ z)lM@^3Zw8hC`Y-tsU&Lzo6Kgl&{Y%_S|KbzHHZ5%;;P#?NaF1t4vgn3jhxVy$pF9gp6E0-AsLcZHL8C%S~FLUDx!2HX~r~H$|;JdsJHT8~0 z)!i>viilZ^NB*Ad;8h|QAtXtJ>FgVqeZ+G4?#p|INXr4Lde<`S#b5$rwX|qA5=eeo zgC!~=igX-_3hO%(bwf-P`D0RTi-{tCI7*zTVO)~<@tbG5FeQe1uR(OVw|hkp6Dlih z{84jrCumM&BWs0lwMPEc=7Fq+yRLYT74wuU$KXtO=9Qa6H-WNT)U$AWHzVxSw+r<7 zeftI-iT)nkKDabRb`OrDJ$;X>u{6*)y)h0Y1q&_5pdF-B7fzu1K(0x+vxvORb3!yM z30qd!DZT}mHxRx;^#`oRgXt$`qN+m^x78Me&v2n)F`0I_)gaD}tlF)7&sEveZzJ)= zosS+tv~P1q0<9i95(B8g+)nWw6r)x%Pow z$*&N}dCS$Vgge2+#phj}mwy04WM=9Dm>_E%;FhT`5!JAh@4mVsv5V&ThTRXF= zXv5Q*sh^1?6*iAz)7<>WYkH>7h8-qbaM0G7wFD$d+QYo}7O(vgr1PdFdXmkyrtjgt zEv2DHfhziAa6O9@{c(DBvzVStog&@+75#|_Or9`Yrs%kRXHt_V4C9{7lTjjz|0(zd zkgY!rue(~&pTW~p5oQ`nMP^-GV-h$;0!0%RmlJ#zsUqj|O3eAZc0BTKK;25gy&%z_ zgH!I`2RFm&e;yB|eNHZ>(%3grwfzW->10NNMXP|?bk46$54`{wS9|CYJOIa{O`-y2 zW@;}Yv?@S1$gmR8UqVQG8IB$G@(P}^VzcP4!h@mCDxtyCy@&9f2NhQUg$W&59Y}yH zYSWO1Flat(OX1OLr-~{7W4+c$RvXPsbUSs(%^`+HYl8MFzxuk|8LxxdlboPb+Y%IQ zFU%dM8Z?T2BummUAoaZg0#&%l!c7rwYVcpcGpxZk>5)L!oLVvzXNJw0k!aE0;%V0x z4t*O@`a2Y_y6dp*eUQA#!FTbnC;JcK;a`CA=CIg(pSm|LPfC6-&(#ap|FP&QS&A6aK4C1DR){}^FGM1fBbu<1nNsKsr& z<#ucBzC;bGaC;k2ICl?6qKJ(!NQ`Ab0b*d15QD_!_Jk+{T($ute&N=Hg0Nof5xt}; z_S7yx@7$_2kqDkiLW1hi?p_X4s7SU^xjzM&H|;3p;5j$siK2SO3n{8cfXP3|9%k|% z$^vC1)IF3Gsne+JX$sB`B2`A>d~6PIIq?>DKY6d4MxWm>Eb?MdG2#v7Br z1B(nS1hDzeKfbXh19w}Lj5K>%11?rc7OOo?dx}@xG?xw9bn zY!0Sz?`KeycTkM}3%nIc9!HWk2bS%~)_w(`{RSt)>b5vCtck&^<2f`EhtThW1x0Cd zkYarh$| zV_*v+DL`$B&hk2yA)){wgtht97R$vEAJK`nk5fJJ>wi*CzQ5oKgS6Y6Ep%trZmm1h zt^0;j?zj=C1g@vtV;ci@eG}2~P*)JZ#L!BCaPDfSGEC$yOMJmC=`#+2#;*E_>WKUl zQ6O8-lyDC)$bb0o;h3tjD*>u37glIvWFKxH;NGm58+P)&w-hWP)@Fg~d&>Sh5aUg= zh)m3^>T_iO?Syu-i2ltg+)n1AtLSf9gevsIV3LO!mPnpxCU-MOOE_r?lJbeSR?3HE ze#)&iq1hPvz1^`izY~l#5yEl`BT+P5?zL1nTw;4OmMpRhx(xa0k_Dxzvxx0pA%W{G z+0Ou)t|kkw1+FtI)1q04oNm>P3qiHGynQRQN%y{RElYJxz?^f+iHb>)Q}ce ztL(oE0+xGi6+rNAgQgJaf6iqLreP$Az5sR;ARZ#)X=c7V`L^5gLKGjw<*vw9S4&_Q z=w!cewaE~p4_^J4iKofS--4RU#!E^`NF(dB5P{cvy0Qs-Rm8eBhXc3;rUp~s)Mvyn z$s;CcTX@$bTKo~=_*B3;?t!UzK`BO*HkxhQq(SIU&=fFrxS5A;&kA(|p+^aJ$3wMc zYB9m&Zme9xMJ!cbixTTEPO;oA9@WaojD6;^c1?2ap=>8L1jB=%ApuG^UEp zU<(w1OJQp1=F4X_qH1z1gQ%);oeORrT&tE3H_;@0z7oCzA-sztUbNB{!UlXRXeEd%1#0_ch}A3kMRz_ZW7m27&g?u5us7FSQHp72 zWTQcUjCbsujaQN0*;&V~;@x+RR&ZdA!+bt>SGk6p1&T1$Jx$o+p!KHy1og~rkOZ;3 zGj9m{-TanaGrUVd+_qf2Z{(8w@1nfRWsSh)s9MymEcJweccZ$+8*4r9s?6Gks-jx| zdrV#l2pe6&-@pTl+kc`;6%8hcDK}B^7w#%o=2MU6;lJNiqbxAJHFr0UUnsngxx53D zXREe|)MW=-)G7`wto}#gBx9MC4$PaJE}Qqv65VOQGJx6I1bGV9CK-J9-94C>e{lC; zwx5^YQ=PdCMHFqfxB0;K!7{$+p4!%GP!cz+^0rv5f2w;Rf>W?$gp6Vd$zYNq1si--6oG266ZOqlz&Zz?NCAD;w5_ z`GZxQL>Hku=`Kue4S(c;UN$V|A@MT4|9&qcx6Ez#lRBapFP|MTcX9ghi0Dz zVLPoDo5z^>nj@*!%>BCyl1Cu1e^ugPY;~yEAOxrK2yE`uY4Ca?S(!@sdS05>7WB4DBRERzB z6k+`~b5Sq*e<1Cm+f}u(kjC|tiFj%Eef0@?H_iHFrE@RgIxZC<_7b>wFSY>h#quFf z_8YwiVRw_PKT6Mjvi=x7Yh?X#dTO%%1U-vo{YiRe%R1gw!E2_n{xm(K@7K!uF8=tF z$6_3*dTMy)PDthszcws71sh%`J?*Ix6?9gsV}6L6eA+trvC&ML&aTh4i8FIYZ8t@q zz`uT~3NL|YKRp5O-yQdK1>TRo;OX3|?Bht)y){Qh9o~^P`8sy6# zcs9S=RPtNsokMJn=v(+l&-O1hMIjQP$*6Q85&HKoiJJEom=amtQ`2c_w`?!EKz`ZY z9?0Y2y?s2CN59LF#F2ri5h>IZ4cA;LV2WmSj}VEAo}yh{j1uXir{FUcMqF2e^SCz92{bFQWb9vO?Be5DZcHn;#3)>zn03=r6Ah7 z6}AvF!3bL!E}yBbeR_wjf-31BXl8)~hr2C!NKN9f4&%Hh+is;Ij#}k=(ii($dEvQD#gW+rTgnbiy=j;UJ0AF;c9{1syzgt zCAs~lBD^K4=mm)I(``iY3bP$=WQvv*etS_=6|Vah71KFALe7k&^dxaRLv`jgrDIo9PZw)&awaV9R1hYUy+~ox466m(nN}x~$63P75zXB4VoB(KMKedQUF<;E zT2f#}81NLlBIze-5ESZyF=2<<%E!Hwh+bp*OC`yt#1(#I+A+|oD>A{E!(V%;vd>&V zs@siu!aVqrZT?5W(VK!!_dX~f@Hj#?Ke;$Lb_OUCr1N?G%QK6xDo_K|9?Y4GH(B;! zb)W`lDkkeY_{}dj;T-bwm&-zTh#H~!fMejfP*r%)Op*%%G`n;c$Qth9D!5TwXaaPy z`V!?&(dHD?>y%}O_5yLr5sz5`Vu*P*YM;miC@^#C;pmx!mwkwapZAJKdy&|EO6>Go zhzY&GNZ<3Ixd-SD;z4W3i}|5f_H?b=%J{RdCgVdQufAFqT1MK$n zQ*jSI{4R~nje~4-ismaaHe5lc1yA_ww`;X$b}r`4TU!tlMjYe?Fetet&ke8TRpO&9n9tg+wDW-*fKQ>X%1Dsy3785XVTO`q zS7tfzU5S0KmE$WJ-@i5xgMZK0OC4JgE2c^b(rJ_ue>8zV?)5=!=qAL;aAU7Nvj1}^ zqg)q|`g++wbZto3>I@5xHv<%{9eubq5s8+!wdi|LOu^SmCj#aoA!br{`1R4L(RuC& zS-*=4T=?`RTd63m%~peHkcIcc;5NO#Wnvz=Ds1o^%F#-PTheQ zjZ_KZB*omrdaM`|A_nrFZ)6nG1nIB+RNtTm|Nr1U%4iY`&s=J7Ay z9E_KqTyJTqIwBN5(I)9D`P{dLyWc=8VIC-Gn2X`?Pw)mQVDgFPY0 z*#{SggnTbUpJpS9=1!~*PB!@rI%@IAj5075A`4SOd$PEQ7B0S7aIlGE3H@R(LhT>? zs=xY>tDM$P^!iK?m8xo2A$K{5 z8y;3|GrYK7L*3jtJaDM66zS;$7_|29Xzbf)3a9&lhP`X}!-t&qZLtHi)1j^99~~+w zTMhG9QI#}g;I1)3f{jIKo2AhL+o=V3)qC#lG%K^}LCPw_YSrC*(R*&Jh%b6CcVTQ! zhz~vhDZ?DY_joES^cJU8dID927HIb&2`1>KWn#xT+zabum&tA)b||PrPf0BI;~8v5WxYjz z-Tm($3koj;#nP|NChN~ZBQEsM;pHJ;|3Q-tn>6Ts_woH7R6&qmKPWSM+YVmx;XvCu z$kL*n#ut59TS?m^;`+sPX5!kVP``}(#*rB|9u$d7?jOgc$_JbTN+h|AN9VBZv z1CzDg!o43Z4&ZYm38JM09$o|o%yO6P0~Di%BMA2KtdD1~H~H}&=VzaeLWBo8EPaF~ zah+9vj&J$c(RZ(4WU5m{<+k;NK(H42xYZGh@NoY7_*Wm7rpC$6^FPrFaL%J*?<6*1 zid!En##ECCVo%%`HaIwP0U>zxGbg+&9QKiMQir2wD{@y29eIsjS?RilU!3f7% zUP~d4A8@)%D0a2TxM*|1tOD4I_tQCxFTt?mEw|)|wWQf9gJ+^*!&hHLH{Y4y=w#JX z;+CRkfu`t>noK2#$pab!&Fszl8}6z^58!X3aH4)E|L)U_5Up%votCu?IoR|v(_qLT z5j;bZJhdhw7Q7ZcoI#o`_&qpTlFYjyw%~Vhp=T)+zBlp@$zdjfgwC*BCXG|uNO@Ks zkz#oemjcvY$P}tP8sp%4l-r`84Yxn!4i`d*96To)w`XPCUqMc286u&@$z zvE`1*8C9LR%Z8>vd)7*;3Y(`Xy+s33SO{l z$XAyzwt~O@b*+LCQ}JEI)4nOhDCzyC8oAB>rhhKY^ zTpPL=jLIG2(y`9T?-W>Ce@Yqy9W`Raf90?}M}NCa9yFHva~T zQpH@$Do00`(@0T+A}CoJ5swphxZ>}7g>QCAvG=_?e*Ie(WFZk|f|tmGoH$hEP+wmk zk4jPqm&YhBhPdOmzhyx)(3tq6l;VF{h~JR|rmNWR;`_hTFuVWdyHU7x2s1j$-s+zq zmi^=&ZEuyI^!+6472@+(xZF4rwPJq!N<*#l=E{tVe{j}bifVOaYMb!T4LGV>Q}oN= zp=%76<7uhK`*&lI*5kBVY})&L)DMG3UnE9%Iq)e4Z|uT-hDQ*~C@bbV8_CjOS88_5 zrxkoxfRo}EQ&3M6=+>aV(kN z{$mcihClmb{eUl^oik{w-#;7qU^={o(#ig7@kmje)ryi=jTw5PSj6C^AUD)QALx>` zFL=#Q&J5b_^QPlv8R1ESXCrU@$rZW|={-qU#$y6ZSCEsmt%UTpTW#oUn#c+-Y7$d* zn=UqYY+5x4ycCPC4A34S_RsVypR6e0$8(kw})ONR93911`pqX1NnA};^z zu^orR75dA(_s`Tbco)>01dr&{lPvt>pNm4bf)i;D^CH!QmGEr{d$TZ} z(_50UMZ-EI49ccfoZ`Uy?*~M2T5`A^Fe?Y|3A8^!eM-IqPtm9-J_(jbY2pzVg zKccgC+=j8bzCO^KU-L`P6ik-Y4hgo0vhp{68CL~sQheW`FD~!+4uqN7TC9^)`urMR zJqB;{8-^C$%g6uPocb=3qbs*qaXJ1ve#Nh)YzyE0YYw)j-uShYKm2PlPx!5502!Dn zkMY+v?v+aVL25Z-wyR|63`) z{I_KO@o(j!29S3hpTQLRL2_}g%)E;ryygeG@`9q9Pr-Jo=;B*dccK!!01R4j-G*Kk z4Uz%kFH(nPR``TfV#zry~7Z;^HA72CaFqv%nfQ zl1vMH*YW+o=amQ^xBzHo=v9KPD2OZAi+VGvyKP+kBR{kg(snSatx50JTy0%3Va5^~n= z=52o#h3-K)wR_3A4-PhoZS>9>zGp=ilc-E;1g4JaC^jeBf-}hgWdtApNged{=SU zU&Sh|3`O1S<}3a>79Tl$<*)L=_d^`>EG1J%+0302i&O^g8^FD&mnzc>lbCGmLUP<> z?Mfi)5AeR7C8-Z~vCtmk$99%vhe^94WbGm1%@6*SH_2pxuQFz0Xa2v>5eejQf~SHi zsxy3Nr0~*jSQgvOiw-;TyMdjjuyp5DJsV5!`5`cH_~>bfNzi-*Hgh%GY`J`aBjAUBtW2uObbWui$oG2P(*eMM|MUc z2@ohEyPJ`h*09_H!Il7lBGPU~ieea#GL~hM5G>+Ak{(O)E=bt!OK)d&$_c0*?U74A zVFiN(TT;={H9-YUrr4vZ!DwczfbBNsnva0_xWatgZ$4hfqeA3D(qI#JE#9KCiq`;4 z<3u+gVQdhT#M1AVK)-<6LHj_IfY-wfga}7j}ZlKn>}u{ z%WU|N=P2nDz{)#t$;Yap({s~(ji&%5+r)Pr(AyW;v|dnv$ zy=YvauoC7m9#&Yk=Otw48H~L#JjJ(lWpmHR9foMB6?_Ge2pi1CGSbCed&;wx@;q7A zUNtIgtgiVr;)G3r3KB`yPC*~&NjC>DGo-%`3Us4lNE=DinDImd{!zx|HdY#XgNRz& z->#%xw>8w`{tJjWMgojImLuU2?WF>aC7g0J!t2^kiNY4a+$!7zGov?=QOL}R-rGt@ zhLz&4{W>HvKP(%BJROxNVEaAs80OH{_I>dfZQiwi8IQRLORI|G=O(N=jD^gi;+=nh zqv8p@ge{K8stG$I9_vZimUs;1fza_-En#oQW1%_%e~$<23CniHN!^REVewdR!aCxy zK7?(L$NCbsKOUniH0?jTLUEZi5LoDr!}=38EFK#`*rIrBAYm88W4L5!ZGR{p8%)@T z5zMUrjz$6%Ph7^>zqYn};<0AJ7Q|z?%Vll9G9DXB*i-S?Fv32M$A*UpO!LNNJc6*g zcx)tLGvYB!JFM+%;<3?$T^)~&A?&euY%F0Pd!;}t&S6BJYm)G*aX5R#A6c) zTM>^)ta?=oy50;<1^8jflru z@Pan#Gaj5pglpq5Oa-m&m&Idkgzb#Su#!V-ipP#2tf?kWz&V6n04x;K(aa_A&3M9j zgca7tC7w^%ym)K@VQb^Dg@kR2$8duJLU&=XKeYoliO`#MfrKq4?DKdGt5dX+x;SB$ z5Y{6eTT0llcx)MAi{i26ObVeliwBP=)llv zZ9gvt1a>N6+v72ej_6e4F+X7+#$#&EVpl5CKnLaFCM#)u-16&BEn9I$2Jlc zx+ETiIih{WW1O)4@z^DVeHo8kN?2mQH~}{iRuPXGgbgt<*q^EX%Lu$6p73(Q-iXIG z6V{_4E|V(=TM>_4N!VTS7!En1)i^BFaW#Ph`^Pc7hOkxf*cQUJ$79zL_GvtJ9bujU zar~|)?D%*L_YJJ=cZA}>8wgAs7?*e(VdLVl8woov9=nOKz46%1g5RJxe%lEf7RDm; zza0dwj3>N>u+8z?Dub1F zYr_2Bl5R~;NT)9+HW{a8Fl~A>EedG2Izd`vPM@VT^PpPxzlHg=?0;Lh@6e?FL@X|Z zGi!!Ltg=m-S!vb@bP2AbkvwfPtj3!eEKgjz#8cOR;w&)?9{SEhb^N$?kjK*q+JqXBuS8?@76yy0$C^*^eIfEHLSC8L zdSn*!YE&y0#;zpVy=J)6FG~f|_+?TWg3=6G{KQ4%2V!I$ow8|vvV^;|QWfohnIv>k zPCw4@=Cax(x}t0bkIQ8>xi3RR4Kr#rSd8q&2Lm1O;O8KWJ9AksG`KGptLit6k8;`Z zY`*brK64vb;@^;4gzWHQv{f%Aj}2c+T-`)hC$%ZYzWl~TSud064HGfTQaxT-7j;t_FASQG;xj9XVzjfNBo*IRF^S9KiP6eH zY4$P6pN5fxuv^>>q6FG> zYTHWt6)2$=<>?urwBJmKg>s?q)X+CxVwsnPKRI(W?q7mCuANRdb``SKnO`E86zwax zkZ~f!Q1q{j!9^@@@;AUd6BEQHX;4@*Q)515>2?Lb2WEam(IQ@K!v?Ah z$oB&rhwn#n^`DIGMXXNd5-;GFQ`ZFHpr{9~K@e?TeF9^4fgqI9c4gQLt z_^J;bieVz9YNtY0@l`VMy(%$)Vrzp8O3?m>1hgl0A@z6kl_4@^oZK37i&;54Xq;Kh z>fPv@BC=Fa_104L()VYM6vz0WnDvOU+RFI4KV+1Y2&)}f!m{1yo+5m;|HL;`!dz^y zvAu*fWj=y3d6I)o*iU!P^~}YokN%9&S;CyTzoR(W^=4G=Tu(hk;h0j@e>ZxTvi|HN zV_7NlB*RqA?`mp)7}u7vHIwcMqB-K|4X0N6pWuPBI4Hsd;t%H>cmotFqQfySnJl$p z@q%!r4zzq+6jfJv4jEM3MiqR$Gtup2=XI7Bn(8p}z zCMkL{vkNcppcq{?+&!3kh6SV@AHg~`D|~ta9JL>6A79qi6a;Yj)P{$KlLEK5-3;i7 zKvLXeQPWBAr6&0wgH{eqr27nX^q{H6Ej?I4b}}M8X+h#{)A2n=Ttv%3npESx9_VG# zjNf~(X(1uN$Nwt=;G%6r0A%JZH07d!&f)HMAR4QO<)Tb&DMu6R`*JqT)XXRUD}oK} z63n;~U&oJVhPWS9GoRxnn@~3tqT?Qrv@b-HbZR1u;o90B=nCIQ;j6mB_fz=UuJ8jC z{-7)TAcg-D;qJu$AquAsA^sx#Fohc^95R931WfNDc!a`dc7^v)_~EYbqZEEwgzc2U zV-)^IgwrYfIE8-^;S35tLE(&{B!CE0Kiuv{IONnaiQp*$MhXHEewxB_yTZ><_{^^G zvlPC$E4-J&uXcr>qwwLb@IDHc3?l&q|K}+@aTs=p!oYq4j_V@8ti;-WPFEQ76Knge zUEvog{I9O?OB7BR9?bxwFjU+Xeg)xR7K$obTF`8+UsytDuMVK}JB{vDcq2jXc$HEf zCsH~|HLp?l91+f@@aq)5wJZDvh2Ik49E$%Jg})QwTnfKQVebf%OoZQ}@bYk&R_JdN za6=ctI~0DsD}0c`wvkZ=?^3wAD}0E;tGmMQA?(XT*5yIUThE8LqC80XM*Nhe@HZfW z@{2@JUJ*a#6Y*0X5kK+Q^NnRL%mxamgr5Rve-Z*{BKR2vKZ^!Gr=WdQB=!pm4yRxt zrKK^ueIW&lDEJix&xr=Vrr?dy;5QU}9>K8Dd^?kXueyNmD4aSvO7J~}`*wwYKo~cq zCTYdUj1FFZ1Ypri5W;CgyAPC;UDdu);{-P=NG=1c^&qF*xYW&R6>KdidZn??&Bi&z z!E%x~qsGvH*BI&7*1j~VJ@#yFVFscTZ<)oRI-DP%K@UuF8I?;9kn(#LWQCDH!0}f{r zhpaG%W}(UL7QH9rXulklM&-q4=qx%eu&D=nfK7OeL=aWerDhy$2{ljd$*S1~qoXG~ zrn(kHYl8Uh5k_+JZKOKlf_XxiOFe>~|O-Tk%PVQ3@%4f@iwim zkI_;C#(j*6r@EQ@mT{o zaD)~w02)(<{BRjAsbxK7j_R4h%8k!!SzW%6yQ}nJxo4Vk_p4)dxkBz*`9Mx&ux!5& zu{fgaYn)NXMr3ePTy&2w3nv1Bew?fW-+@DfLvIuiT)lZg$0qYs1=m1M95>(9JC=mV;Zetnpi z?KBqmVJp~H<5fJISd^kM_k--6S$(68`3|GCFXq(M#-)9kr#5PU^&pdlI&McYGQhq- z0(hy;G{Ad+LLK)T-}hyu^$#J`F#vH~Zm!(Z!U0;Ui?6R#`yMey_QNUhW5&{c ztP1k-ery8UY`ou(x$#JAz=?UaQQyE^^-+I#ep@_>w zcTq5aRYn_S7whvQtmh0sKk@>~jecY_%4_x`&l~pxht4bmnJY7@GocVsjr)w|fh=pl z%cQVs-)neujESu<7mNpEndpAQ1Jf8;{LZEGs#lceO=EN;D{*~*gec9&cyzSHmS&Ab z{Jf)8dqGKxqe7qZU2K2sN<*1>d7+;^IcR8o(}( zRnc&7g9fk*Fh?<7Z-SlY7`A3m{uo1vZ`J&ba&9wr1JN*=S)U@>j-stX*}oig;+G2X z{!fo^aHR23Gq%pEjkF=m-PJ!X0ja5bd^t;W2*(2n5C<_L{Q(qJ(TPXL2>@ey0(}__ ztCaRzd*Sm;;eaG{$|y#)k6~uip~t2e(M9BzIxSQu)jlhcofu1oZgx2sBhE%~KI8BZ z<|tLkf6~$EQYmh=pbK>;KlW9+1O>m5uuE6FMaTFYxf~?kPmccV5cTc&lvmU;ZH|Z`|^$NhO*2W z!M`!m0fqU8WsJxgnP|=-lj%K-m6pn+w-}g;B#Yl`GGE7DC>eJQV-DlOVc6f@Z`?kN z_35>rW@Obq>~|;~7~8w_7Aa-Z-=)yz89BpQ;ru#Ea!G6weEF)3%5ojqazqZ=va(3% z@ub^m&m2=_n3*MT84}9=O>nUx-VdN}G^EweL(3-1n1bu##%04E$j zCEzBDe;ne(OCb7ADBf!iBj=z@0>OUJh%h!Dq$U=bYeJ9j3qd2#aQ_$){2pAamL+5G z2$q&P0-E*WQ+$Vz05`=UhCacVH-eSoSI}c)P;$hO#7@XOf@IzrEAs>k{erl1eA%Bj zfA`Y(1(O=_T@=EMXGWmOk2HQA!72+UB0Z+s*fN>~UnZKE)JQ*_tW*G+Yz!L7+{!4@ z*c4;MNZhKMYMeI`18bFW=SbYw8g2YIl9e=|{i){l=L)Daa3iF^Evwt`=v2l~;%VTm zU4S@r7z7u4aD&yM%`hg6!VdIIW7#NHncV`S@<_6=lnf_FYG9Ue^C&Tt?;pk7UDMm` zV1v#Ci-d6?rP;-;K*U$ggk4Sn8Z)e83L=K}exuod&@`0K=A^w&cLkmo(bFfg$M2%G zAu^mZ2?S(D6qyYKFBO+}+FBx*ehje0yuciKI6*y|V&)>`AZ0${(B{EkAtwgzAQ7B_ z+hybX(acFB(-`J);O3Kgr}JIB34W>_e^0U((yhG&78ggZ?gutacDNnH+oGKOPrZgW0yEW z0x^BMI9&3tkuLBR98u!SspQqZEAi;~7GShzK5~gu?YoAkFgxj@f+?>@^C6QKPb`** zrW*5we*G1c_OG&ZPYDJUAY zqQ&BsvcJH`YYQ#|gii@9$Af0oeWo)ljRL?Zim>CSvsx_7ub$2(rQZxup-4<$!iv0c z(+oBS#xr(CtR)K}g$lPJ0d-t|B1Hg`A5(?50`02wz+vE2rn_cfdArjr)25kh+UTPf zY2kRPc}TY=Xo0Oo_8yK-yh{)pSIuPOGsQ~dcqBAe8uc=k8b;?#*0)ko>=-4Fk6NT6vE(GSj)&Sl`FbnY{6(Ta1nPU-mzQyuH_NRl!{8nkTZ!gF? zG5|zrOYv1qw{gcYI14N@o;!wlvm|tSJ}e{g`@fR51WzdE1tWD1D-8WjIY;h|9F8jP z$T;W3h*FP?>luw3p)JO|J$5Ii3o+)+Wr+=&EGOYbIOfeWC+2zwEy9Fk%~38++KL%M zvZEstHv_=M7&(`f4HL8Zm_$>fiB|j@hVi|p(KHtmYue&5?WJo=D4b16eWWfnN0y;g z(7Jg`1QXW*nh9_)?8NQ#dQj$gU&IcRn%%Re?B(FeCj&dC{|r~jqF~d zb{@uvDr4F_HoDhJ;QEQ=w8N3K<<)g(rny8t2SsC64dOFuU4KFN#OI*)u;Pa8x!NhDQaC zmf}c(2Q7#Q{O?m9DKK9k1io)UtiVDbF_ZYw_-O%lvwk<63t3gepEpZV8Qv3FvR#t$ zFN1&Ts`jNTj>F6ZFOtHt&cKOn+4QMW;>C zw&yri`fmD;nlve8auVo=+O1AiN@*BUoF=U=Nm}NXikA?50q}IGVaY8ejZ!{d7j2Ym zcKY`Hm_-#{RXVHX4H3l&vA-$Rvl$5 zmF%c-NNsjvkvf$8hyCO~8Mq>iPwP1@SNd!LA&ayfHL7GANcauYDD9YeLy*_)Z7Jug z(us8xyU)9$CZtNQoj`%_`;t4TKe-bxA?dz5hZ5|sC9SLiYgL+5L9xS+C)YNMSRVvy zMXIVM_b)-&A__3SfoL{CELGY&gWQ8_iM~Z6O2@HHK2@r30UnaBNvDW$T9Z$aM$}~^ zvqxq;JXMv(ucBn^TyifE!ad!W#Co}n=p{P|WJd{9>4Z%~iVLNOn$|fArC+D6b0|{D zOyW?E(yP)}C6wxt;pCnv+{LGmf9Mb@UMLTWEtMXhA<{DK`drP8qBThA|* z%7<+|-yyYRR(MOLi312Z?gFATW)O>a#*llx$mR`LK%unSyUw9X1IH77;RfRN`Ao{D zyoLl?-h&WjBgHn%A@}9bOoBe^Ls?$ek1`m#fin1tQ}iZL?ia?AzyAhuo#&GK;rWzm z!L%JU71Cxm)%WI8$QpL0li#SN*uE=>vQ`x7k9tC0LH4E698rM%D7`9;2!@6f&t#pa zp#zjgOeN^{NhI&(q86{2e?_J$tshISTUe}P3T3ll7-ci?MDl;wll*tL?x-o0c5y2G z!+FHVT25~7>BQ|d(*WlVDRxN@3eM#%6x%j)M+kBqcd1B#dMcH+%_AK=zKYzD7j8X& zp}eh{E((sF)V{h@VyKQ%Nu5vbYEUZD-LMr!dbn*)uvEHu6CtBkkgAnQJ8G(>+8%l1 zs-+?4=D}75i4xy-8fhXO#;ZzCL+ham>D#j_yo&UYka2$>5~UC75-iXNRjH>ix=F$v zBk+byIRH`I4B$&;W) zWUrHztU>Egq$h<+&qb3{q>l#@>rX{yQ+>o!6Gpda0L2zJQ!E=qI2SpFZpx&H3q&V# z5OO)B#r;ViRo#fRvxWqchf{*`x#S)YB7S)c`F~wTZVEasRhm18+_qwJ`vfV~&nN&2 zBSgPJ^aOcAl<&tA-b)DaQAk98zm^hA5Xx_cl1rr}s9jZBC6J|}GYLXZk5~$qk}?+y ze7eA2m`dy}xscpLLaa`R@|NX~4x-$ADHSj4A}Y*1LiC37DX(@>p=VaZ{#7YhPKn)1 z$bChWL{S_V98geEP*EIk#tBdqQS^Wkfrx;jNLgxGMv-P&IhGU; zIaa3SkXDwKmZqkaJe9p`t$o1T_xXL_-}ilA|5)zNUTg2Q_d0vJhkMV#Vc4B&%crRH z$iUjtsp(s(+tyQI{f9*WmRnD@ftH~14mhclLY!0`rabH5%XY!yZ8i{a-c{=WbXo&fSVS zR8NEU>e=ZrxH9&Kf#%6lHsj@(4UK~ykedygvC+yT%=d5;tE^aN4lXZgi!o<4+1O^F z`)htEyV8=i9O~DzBHB$>6hbM5)xk`KHGm43p^d(*XF2v*7vdZ2#6F>ZFMz$yiWO27 z@tHTEx+1U)WLL-HRvScHaNn#r_nz#p^;q`9ktmgLtRZ<7Ms7}Ltl>T1ICm#j3`>@t z^`%mZAqW*$!cZ=SwGU=IO~^nu%=vd5%HJnr{%^4B!@}%^^6kyo<38os8zXEso-DjS z_WJ|6G&L*Gz875-2U;^67h@?#ImhUd2^tx5luT6oN^5%t^|F+54sXKz;Z$82#by=_ zy|4fb@~uVa>^mo1n19}k@-kIA)C(O?rkyiTx=%&zXsRJ>I;Q_9!$vC`kB;rmJUo` zCZQ*r4$H6=8@Lp0e5pYxFwkJZfkEfVYNvHy>d9{Q#TD~%-+TrBySgzySI_ck&)yG% z2(A|F@m8#iCgHih*y=)etm5V*oM2UJa5i6})y<_AEfbPZHU(qPs$e1S&iXCJb3n-a zDXaDDxYv}`u(45u?m#!-&_>6QelQGS{4ZaE4jc!g6jdlE<)i$~3uQcnUC%y;ZN`&* zku#+LUL2UVI3G`pogGlZgZ)`Ce8;)h=E@Y;cJfw93psWyx<_S7GNklG^MO*BU|q5h-DWQ&Rx z(GJp0gV!Ene-|yROgX`3u<1k-fX=X4z^I!)A88KDqE2*I24XMfu^hr<{gD>3B}5Ab zAuVFdh+@VeWwQdJ%M{>j_{9QNdbpr6#g=EYEkw!Xl_|wMmu(|jN44a#=ZRVeU@f_9 z2holGio_QPKO*Fp94g?M3kq}mqcYMU1h}(?*93eDNW$wYa$)c z6)1O|$Wx^ssN5?xl_}qV?nk1LV&heNN9bs;(obcQ{{x)HrnU73=`_pn|>SEpe)WiJ~{ z*hILG4I}zkr4dB7F)+Nun`|V}uD(cbu@s`sPL(NU@iv19D|uxf9W4SV>*sTAPWo{VP*y;V*O^L{6izTzBqAls2g{ zr2uZ#_zMLqwMnZ?*~NW%Pf{krfZ8m4c`xanD)r;hL@Sn}i~W2c(Yh^2@9=n{?opDa z6Db4o`n$4+d@fN%f23deJo4Ze=(z-GzCi!%&TzOJ!G7o20<>(_BBVc6>H?pBvY19> z3yp^zy9@8mb7kSn3b?V_Ud~aJkYxZ_I*F%& z6gyT9H%wfai>M~0Bu`OqQP5w!KsxELr+A&{U$$5-LhQA$j1~thsGm4&LH)&h7Bo;a zVJ!+1a4vFXv7(tOT}^FE5T`9nhl|fi2jA!i%Or7;Xdk&BDK1-BP7pUpmkRfLT-ii% zlgLSjG)eqOG|&cViujpGk$svf?gp2+i8RAz`{%Atexk&9nTqypsAo!An=7}y> zXe?-n&|A<_VX~lnVIxVQD_S5rYVeA_LoF>5U5I4U)(Epj!L`Dfbo;4?PYZV<_+tVz zv|M-*U8h>s2_K>*)ZYr>OXO=n%Sz!-q_b5JH;5hM$G{WveF#3 zC}*R2kLVzEN$I5d$ilR<<^(BUq-*b}Ic=eH(tJ!hIWBr=z9kBzs0C3%6_*?kB~XOc#ew?|&qII(V{ zQ2O;ixo`zYg+<_hthEZ|fc_{?hoLN6h_X{5y6K#a>1QWmdIre=lD)m$p@}WIsJ%pT zJISZ0(G#gb`*X1=2g*^#IHILnKOZR7FBQ|u0BTX~WUTtcAj~pN$MnDFqP#|Ok}swg zdIdnSORi}1JxF`@Xv8qHp4p5WX{}{H1T}Cy`)vzKWAbIV#!H9$XtZq0jLRS=ENg&Y za!n$6qhExtmc2CN82nJz~XW4aF-Go(G_`DD1 zpIy=4SI;)~$Ps$>I2&b4UL531Cd<{(&~ZhBAmHPQw!lTvv#s+lK;tef8sZzt{t6uJ z8_t#op=2>RBAhKtQ=Vj@BBkiq;2c;}u0&kcgtPFzs2%K$G9d)zD7VqRvfn91`$jhK za28#W2Kgf^0-!ABq!ev&Xn@1mQ{$)ja*B|aY_woA1G;(zIwNDoSyTD~rM=kVSS)-5 z)G0;Jk{nApCK#S6MVXX-mGX-yeG1a{th38y_`CK8P9}TKtdmi8ru1J4n4UQEST}nX zl$i^S?!Fr3qE#sO2BLfsq!PvE%vc5iyu85&!n+94Ay133jflW$LMg(5O-=WTwqP--~yJ3!A z3CI7sOqPMIgu>7HYy_DHW1BO)5Gh6XU`Xm2Mo7;V%tRSC9dnk)quk+iSrf%{q401r zm_aXs{A|%{AWu7E*gJ1V*)<2_R|vxsZb-zVJmkC|Y|K>(UNgTcl>Ytj5|qF-*0Oq% z6@FN3brR;d^g0Ly@@twv22*vOBU&K+9K=k^UWBsYEHd)6Ih=JNIRqqU<2|v?SSSk@ z1%~aoqC&V#DMgx%K45>ccyuI8o}!7TKn^C^0VD*<2aVRj42E!RK{+o6CtHt*(Y{e^ zcU`su-&*sD#S!}+jouM3H1zD-QJ+HSj7u+pe0AKnAYEZ$!TcF87ctG*%|IWRFevrx zd>sb!JCdc*XgQ(~LppFC)@HR8wMEcZJ#&CT%GqR?PEo8Szy|`}7?*_qP4Yn5brQ-2 zwJ1j?pe*l)vc4GQzcNt{hKGwsF}E%#kA$K0uA5)PlRMW>Ku97vhonc{DNrc?8iI;V zRN_9R_fWdSP|QC;=|DiqZ=xJ&@A=l&&HBUSz+A z(o;GSB$MNCs&sx`Los*F+)7zll$D-{wR&|!Sx4z5ls-o`JILm5N*|`Q3)TGvrK2f* zpVH$fZ9^`X)cLJ}>$`%AC6jtLrSmEMEv3yJ(B)TlDA!QoeiJagozkPJq71SeNTtdt zJ&DrqQ2HrKM^K|5QxI$^eTmZlR;Z%GRM9Dl)K`?VgL3YXX-C-NqSzhM9wBWr<-1Z& zKBWgyJQ^uyBUL>LCS4S}nvC)|$@j?ST^dpE_Qm|BMP$_Igm4)~oD-YxajcsYJ089a zra*Aqepr=zkaSGL^!_@OrZAM}GEinY^tFck2`CR2pzPs-vVI{-Z_>isLmi{oo6bK% zon2xg%u%eiFUs(FW;=ZpTjyW~xo{oI2X%MX@-E7*0)(TaI6$(n8gmY~p}aZ*6)xl;=rq z?T6{R%7VMFbM2Ye3Ubms?q|v#wX(UIJTut)zB@TWmMJ=#4rxd-4D>Upbbm)8$PKM)(<|LpTI3DFJ zFK6Gj&1Xcg59GX7fpWuCl=hoYdJRVDAK=q&ne6BeIeV*7F6i$Laq8&c>gNPEEv?bnX_|_78avW7TwiO z&osSJ{u+gHVWrt0{vf{|wO_fT>~7Z`a`c@*s^^FGll=Y173pG8ZmmW6a}R(2Crt^4 z^gla35=L1^ho1gUtfyEHW3Xf0xu^N8wD)OOnU`G*4ls>IrC>CaF9VsSnFjKdZknov zOPgKMo?bh;x;=fd!)8dUIdzp~+|`U{W~}aYs3NrEo1or5{|Lx=bsv`TQ01p-KLaGK zegN`fhez${8TOC-vDjZwY@YRci1;RZ(L>Lk&9ebHFWV91?=jtaJn1Z^v9nUkS(vTc zf1~y8(H6jd%c`@aTq{5 z@6zdbi3;eb|2U=YVmbU4Z*kqP<*+}#1*>n{P+5>$2@RL><3(E_jq*t+)agT=z(IAU z!w%4nwtvOK1`h^p9c{B|tN)L}eHNB9jBRM-t8=dI41A$F{(nEr^>%nxA8r4?otSka zDtIsRe_K&qeFfjF$mxM+W4x3d0^p-5?+o&!#wFlMpM4?S)}Js)&#CMcpl8!T{!eU1 zyW^j?wy?#i_e`8ssZ^92$~BQ}tBOGj@0m0#!9$u}awya5*aDTV&%bAa5$K7!(ymE$ z*Vc1qB?-R5Xky;*l?bTYIA=uP022$6#Nw1tZel&WNypB_3JynwFEIH1jRX@D!sAZp7$duzX8E2>VeIwKs%W$5A;LGX1Jx$n;vCsEuUjDYJ(4n6x(_k|o5W zNewHk-5b!0HL5f@VQ)Ybb4ZZ7fA!lN(3_R0G&Xl{Kp%EnrMg9X1EN{#U}@P0Ec>$a zDs`K_H=rLIJp^^l@bHd=aXJX@P((Sp1~4NLC_y)X?Xu7fVvQEMLF@+$T^ze-p^Iaq zhdrq?flVNibtbSk+I8?uq9N>ng>ncxpZKKUFm~l1^pL2T{kE`feKmZInAPpB=Dn2< z$8a{B-58Dqo7p0wzf{Ud_A?J>UE#hd%qHVUReo#)%Oq-M*9(veiDd6bu#$h!Hr4W8 zBnQg_D*d()X-Sgw$2v?pt{K5(r^=1dL^QMA9;q-Il~YPH(bGWm@?1Xq)8}=0GGZ zr?O^A>|+H?r?M^Z#)dZ2EcObKG@ZvLOp>~ORSyE@v4tvSr~eVKfGt(2G*1(_kUgc+ zTF@-L3A?9KcDh|)9$PlK zP3IA~lvS(rp=UtgGIpd*H!3_ZpCwI|mU*N42NtmPDrJot9JrDl%al3;P$AnjL((70 z$iacD*i98*2jyyZWu{aHjT#xKFqheqTB{}m7PCT?CXSjOSi-)UBXuK3Eed>^`DaPG zximkpoE54Rwq|wUI(AW|Ukl#uUcqAKNlT{{%G$t6_KJ$DVK3akZmJYpQxRCjhRv6z z&dWCk*09`e%5vq%UDR3?6Y{zYS}%Nj>c{atYwjl zP)B1_VVhYDp-7H|qlWH1R zB+(WYS@maN11q%9HL?;_*RRSH)X2_T=w4@6+I4n8ud`O78uoaJThLzkE>Rx3*ure` zB7^oZZ=xDDZbf|1Tg*NGiTk&iKhYL8fBeXxw;5Y5%XJ=~9`p|TRHfQUbAk@CqE%8? z8?rd)2+M%4;G>5Kx5A+JS*n6m!zL~$4QgT!6%{vnR0n;?N{Udqg{8;bGkwIas&p&t z`JfZbrC922g}oGXl9dq2ke*`hYozXRd}Gilwv4ES6(+wObcU6wv?=XhL7%V>h?=>v z_;S#B*1QJ(me_WkK4+(;lA-c*c8N$XFk&lb81>^BSD1*TbxjgjRpGHaq{ zs`Dc2YN5Nt+=0NqR9<4i7Rt-4mqo$LEY3prB}*b|VRw55cKZ^&!M|o*|GaBKSJ_(9 zm9SMnUr80{j?Vok;A>VPb#!FQx;&yv|yPWGrs5k1cdJ*dIiSRDRDiPocwRh6TT8VMJ2*1M6d< z`+?1}(A{JU|3QV4SYQR*uVsa$SZ67l=QT6nCUbZisl~WGa)ZMy7AXn(56ARd>`#fT zIE=#=kG7VfX$gB5bU(6V6_Vbr{59w&mbV^h3p-aC%I~lrh~z-L1K%V?9X9)M&>e=) zfNXR4GaDv}{Qb=AHlS_`Q@*Lx1>a>Age9!s=*L0#*fy207x&qbDl{!&+s4fdxX)aw zC1KNkVZ$~_db`pl_yN1QMN(s>WALxc{~4rGG1^b;8xa$2x6f?Fk0DK zsf2b1fO4B8Ha{&O_z&jrELMa4jR^jWMQ%fCp6=x}_03^%beR>!`#8Yxvd%iQlcerP1*PuS$Bj4ZvYL|0YHcSYSzq82t8J|a2r zUHhonEG^uRDGvN0VGF~frURe(CMsK4I_%<(e5*c+hf%32F4FiDdAd`Q*c>Yhj~n;7$Wq z77&)O;MIsP9FfYZTBHLi6+^q7`JYEoSIp+d`I(*hmG>o80J-p@V@NHmIqsD%uKXBL z32V+@5bVxxekgVL`P7q(W~63TK7U29C-15dmav+UX9B!H{$QvP$nLA>!KQVYWaRS4fpBnM(B|Dc^-4G!fOiN5DQ<-8Fb&byw%a^LeK zUWbAs_^4B~|Dm!tIFe6qSB`Lv;@L#9(%wA3o&3zbd6{a7<@)d+EXwue4~bfsVM$YP zKkjrI9X2!9+?L=N?n@-=jNu`tY5yxR`oRr|7#=50O>^M}L<~ zMWV=avKkjypyK%fm7cFfvN`j^~XkDX=2O^I;ccx!FFqLAP9`wBd=`c)sf*>RL>nbwm2(lC&(^ zfb_je%K8m<(6+lOz75I*uD>izkAW_M+o|*eEG>h%I~{mRja~Zt!MAW1sAL~hp&QIE zN@AHyhd2%9H&xxe3bcHrQc@0DvMaJ_A1QF>VlbaZSZaJ>5i0Xkihv#t<_A<-3G4b` zuDdFmRvCF0sIyAfvnzB%xQj}wVg3x^z3KE-YBYx3aAw1z5 zY7MRVLwG7tDQksgb|^o6jU3WuF_gP}E6FCn4|F+1a_1Pzjn`!@xK|A0wUUe>PKnxK z+;jtV&HO{33LQKNh6om~m_!AXWrU^d#8P4r)O842x z^8!ZlPjAUe@m|na{*6j=k~vG|->Nh!`K~6FUneSI$JciVN#n-UeRLU-|=PaE!-jNP3uXhhg=NWe;9bNAiGKn9*Cus^SNt5~KD!sJ`={ivf zD~2U$GM{;0TH;O#x?hl*Io^Dltnk|^;@zjo{GLh-Zaz)s zL#FWsD%q^Sg2z;HNkh}T-_f#!J&yF$PvdVqlC-uMb=t?0UWTYm>VV*wv+jV|CUF#Wh@A-~c_u(B=WyKJQUFRD3P$mhZq1uGlJ zyNV0>Geo5VuFqJjVfb$`*F3`f$iv-b{!t{On5jN=H=IFt?Pq zyO;7;iR6vXGJZ(v=+89e{E@2bS9Kz!oNt3O9yYXJ)%lS1yib=lx)xH+yLOdze!pd+ z>qeeOv_%2aA46*SV^taKKGC&~`@q+buo}G6S`U9(qk`n{89rJPa|Fw0c)m(MxJ`83 z#-Fz+_dMTYQEof`R@L>ZdKj{uUsp-#SM^884sPe%)>1?0i#&m-hCK=jaoojgR2??v z6~0UAs4)%P=pxHK?%5%jgZ!RExsjoJVp{ZJjxT= zX=cDtK95LN`W~-t*Kzh9-%8YM%Fa5jd5>==YB4p{`GIbqO1~m0{6iHBpoj1A&sB;j ztkAv3e~`q}3UyHMmP-CW?{imgY-o$|()eShW87a7{ay7K-%li0h-3UCqGlsD<{1A} zwZuA)aU~Ac?6wuEi4P}gp=z4=TuDaHna4~`{Ip78Gyetjxkb4T_)VfMY|!v?b|3J+ zRr;mdIlB+J6a48OC$nw$VWG|3U!}JK&cVxi1}Z9+Ojx9C;d6=P&^yTs!)3wN^iiQF z`KK!FaYzq6!^icMx=@EXp`Y<}M6%o$yjj)lcFzg@g6Bm@%Tq!7tuF9g?X*1fBL6g! z_P;IcRM1nQm-(<>()6wL4WU=KdsG{33BAgDs&LtZjSS`N=RANni*RHaRR zS3`g2+E}UU7jQlF-#kdASl{!S$2?x8e~mBGGm(dc``_m?ZijMlS}Kh@=RF7&!X=KX zF^-(~C(r_w-pbO42{AKX>fT$3l#w9GT!5517)g!M9HtfNMBnpoi#@{(B1ffF5uRa2@idW~TqaRPBr7$EXaAvlk!UUZ zko5{PiH|LGR^kh(gWr-EW5TS&_w7m@vleUwHs%_y4ukJSh(0Q5D*b?Rl4L_~!db>f ztRuQ+3NG{1+lY;lc&AmUt5c1*u5&_;AnX|GLEn5}S9=~BmSfgOa0N}t##g>@7?RJv^#8)hfMiKGX6(VqxB zDDce>dofrwtB-RtjSacT0Rl-EW?R8@zu=q%={x_~)K7MQ-KBF3V#c#8;ouLJs2)#0UZ5H_Rbg+ccY!aL=Odj~Oy zNV<0r!z7V=2Qf<3p?e20LDdD!$x^}`#Iq`*dk66%k#z4Mj;T6y?E2QFkwoqt#Xwbu?j6N2RR`|F6h~2^BD!}Jn~3CfaunN%!yfbRfOMu2RaKw zD)wnD%eLAU=pwvS8e(|l;wlCb$;NaSLsi{}rtFaJVtl(!$J|67ksLGbqERJ`n!DJM z_9SZVLODcu%~brfcd)zoKoW(`U7S#L7&dotUe%qNy&}wAbQy=$T;muuci~1PqvkFG ziDX0FMFi1W_6E!!cM)e{=^;kK=WnP+ntF(Fs_E|#3lEWJVd^Q0EG#`mS-WL+h^K&u zG(s)Xy_a~w!qQ8;+HP4A<|W>2*XfwII6Y30y};q+BR(bNHI9Mu5fjFf&eT|15#}SN zNutR3h&gRKh>VZOQFZrb)qv%0l`t|sVlNSPPzQ8c)uBIlo-Doy7=wroeTB_LbXd%A zW%CvOL~=a)iZDs!-dFTib$DTX#UNFOUD5dT&S_Od$=79hN*V7u3{s7?pM z0>wy`9smW2`L+kbg2h$~U5MDB>Jp9bhlL2ZOM`VP%*U=JEL8YQWW8Ok2Xu(|9S~crVQ{6b|I{nuqKl3qeK@X={`!hSy)C1KMTv=BErJ5x9DeK*;~Y0 zSoRU4+AS5%`iSusrhUXz3)5&ZzulCxXt6}K+@bp_ELyBo>6Fclu)boeN?z=%uzq5P zN;j=;gvE%XD&_O9!upGUsr0<&wh}f#Tp^S_94M}<${JhF28!SRq5E6au~wiK1DhDYkEh4HuUzEJuiIs?N2OA$){*NF*I533yrt zc#xe)5=Mm(rjw>ac#?oSqU8QL>ki?`VvtHzVrjwNf6!dja=P7SuN1MxLN`V{KNH43u56<_ZT1=?PLoo$bgZ~&VLDcPqgGR9ogFe( zJXC3)eRfEyFwT0?r!-+l1goXVkEMwil}5n`N)w;9Tk3#*oTcu6xE+oYw@E2?!*Sxl zY^?N}Y2ee2;p4;~l4va(C&V17!?kRju#v={p6v{lqg2ABY@8TRgptt!<*GXLH(ulc z!Tu*5ju)Y@6ty`VFM3NNhvUTnRfi78i(#q`9gY_jDxt&iViS?P6ywFm?W68KvW0D1n~#aTK0+X37;S|^H8^zZP5CJrweP9a`isp6Gdm03Jrnb zlZ3NMfyS(m3=yD`9}f(lEPAQ*o>kBADWX3S?0!IPZ#S1D;gU?EH#4ALl z3f)?oE8b9*P0;SS;*3<1E=zo_>h^;!OWd{4%@evU|9m`NnJ-)!-zO1S-I3zvoHULN4G zMU+Z-_Q@8biR1^>Y%xI+@1(3kV6MhUYO-V30f+z z6S`k6t}lP$ez{-;q@x=O%Y{)AxnC|isycMPTy#}+=zh7Fq!PMcE@lwPa4#3xL^9gT zMZQ9~mg#ld0+);DEldluH8 zmEt2J+22BO&cd=#e5qPK&`b?46xUbM{wJ$hC2qG@(-O8y^ex18%W77OAw<%0wHQgX zmifXmyIRasX^Hi=Kt+_QR0_*%k$6F+ZT8y&i^Y2?o!4#)Tq8aOqR)RlY_{LqO1>vQlt4f2Qnx{p8N>{*rnTS!z&X5&eE+(jS*Cs1` zoyb$kWZV{5Axe=Ha4`vH<$AGIRi?w8k4mv$r4RYGzzw2BB`a`IB`y-lSX7H|+R7OZ zgjEZ}YT4|e@ByqwxDZLpjlx^i`N9XjjiM)!A_Kfh46rcWB!;M_I#Cq9NlaGhyyiey zt(dFQ4N)4tSuANUr-Nq;RT9a8SSPAg!nsu^a^+Yvefv~pc%3Maq|kJ#6Gf^LhhCj1 zQ-`JJtgT>rK_wh|b>eFx?2`_tRn_5a-YWi72_0?~SBo$f*T~^kaYGXM+bV9WIt3kW z6%SPV;p+u17Mo0afL3wU1xn+=k)N!6IP8H{P0asM^v_hQT$IRfP!CzS6; zqcoJG{Bb49mkY6mgTonv*X%Y6o)R z35z{UwX+ip^V@oC>1J^*c3|>M?7+F+*n!VN(DJj1{{yL57|BYPb#HSc*#BMnMOW+q z{KgC#kvo-Yql@({d{3OSKOp#R@^l8w|F-MuRe@=E8YigdFF|<#f-IQzW(@T{s6xxe zEW}MWEyLLZ{kv5or1A9CJL9<>q)*$qZBMEj~b!S%#(qVEtTo5^HGgb zUMCH+r|O<88X8u*0BtODa2bJ{uIsVXSMHb|w+_?YKw2&`8s_bYL+@(`7^{{Fc+^Zk z%#l(y$dG_JGMx@RYfEF*8a8zrRx|>}r;a_K73ybl_CJy5C&FGOSmKbjN@dk;64a_Q z&Meb1?Efj{q9~U`%SCZ54VI_q+RJ>IMhT0%Wih#m$^tSyaU=E;bKn({Gz(=U{_~Pq z=1Vs>+gE0}KDVvXvMkmQXBGO-a`|R9)Z=o2{+o5*wtO8umIeXj_7V87&G+RhE-<0S0;!&Dgm95y%=AlD_SlrFwl+XqY0O=n+}?j)B^$F#iaHz>v^Vf>?mwv}1NK(13i!F~m25?FRL z?4HHQmz!NU4Kx{WX@fahmPVsQu7l5cW7!vbVEv!adS@wVOem}gf-D0r)FCF9Sh-Bf z0VRj0%)zQP)GV!9Ryvba|2_p9?MY)o=3|aV%^{a+Dd`0sp@0P}8zIwA8iCIic@hcP zdl@0QYh0$`=DrMjzm6`4EZZ1DrC`68gBoH<>3`%aa1H)bp;1q2I2WY0E$2NCtWL@= zX=cmi%Q9c)M9`{gDci<8)*#b1L0u5=)F`E3tLU0ds_Xa(JUeA!B`Q`q;cogEUXu>9 zDjD9p1~XN_)b^r{L9R;4Fo-lXgSBct2DWV?$smW)kdbNJJleGKL}6*K=HTYoW+c^e z<+NOT z+y5t0uJh7TrezJ5UMLNVgGIY!`hVi!|I|hf^N(p|wv>uI23b}o!)}>lnYL`MWt_It zvLF{)OF69{#!}|Uw3M<=YXt^W+wyH$%<@`Fm$IRjHkN72sx6ykdEqRZ-j;?FNZW9b zrt|1Ck5pS0R%1|?e2%*+mg7n)EZh5^T4`gM|DWkgbeNS=bw*RgGOhOP-CO7Qc1{nN zv1j;!-VBm650LO0B#?ISWP8ks&ECovo*wTFTi*>0OlGr!&oSRxgTOn=C)r!+p^LeWI3f8H>uW``9 zb@((gRNMyBvEn*>CP^2??ET@B#d&g2%;Jl4ASavTD3Vh_*3$)Q;2-o@B5vtymKBPf zY-e7DxUbveQY~6_V^(h!T<==GQ`qaL_`EF4`T?8X6tOIDUbFDf=X#tFN)P?v{EtPr z{?qyAM6q_qx{FW+hA3KJ1dq&()(-7i;k<^^04&#k4G#vc(bra9)s*XZjJyTXX2M;N_g6mD z)a#u#{Q=rVE=Fy=KEJP7%lXc+?jRSr^w6g3D_2H9+P$(b$k&I*YNMHQJtk4x%6_Uu zXN#(iYtFJR{gSmi^_X9ycdyLQHc%Q1FM?vPOj-tV%h*-gefn1_&qI%&Sy=)(pZKpM z2Q}JaJ>2Zk9;8xD`cRK;U>Vu}Wssjve3@)s1N#wa2cU^X3bgTre$46??OFZ%qt0l{ z_2b<+tI?OjFRWYHunotdH?I%B3{839bPeRW%)8nYecy%UXg&CH zcwTWd1@;z%+DdmHq^EA5-ZU{x=fLq|xxnP?$-?=%pm91En!4T)QP{fh+RV~LQ+U0( zZ_;W==eU>Xym@?ig^ue3VfbJ#t8~%qrfjyEK>h8k*b*yZQP!VNp(r|LcUmo9!R+K@AI4y5ay;Wx;!EDZkh z(C>Cu^i5h*|1xk~Ub{gbYj9Y;NuOdkFnFsz(Gb7-Ien(#-#vDL_VT2C(5xS8-qB|n zM#UV~7Z{G#9s}8X#7TX*VQAPDeG~WXb4_1w7_jMQeFF8~tRLrNGNki^dHI^1h67a% zyuolLx|g9<(SI17WT>Z>n)Pn$XTz89aN5*!{3%;K@36MSaEXU5DmP@P73~YzW|&Fo zdP7uvi=oNzO8O0`0e@e8!tg@f0|Q>r$50PmCJ%mY%ur*K_Wk5CeGkofzhq;Iuw9sH zJZo4!b-K|*!1`ZcNwHuvW?`}^Rui>wjH!egWY%vioNF@c*Ho-Gd5DCCFG8KOocEYw4by_(0r^{> z!yxa%uNh(uf6e$8NFOES6#S=){uE@P*XK}O?~t!R))!s}`3Wp3v6_{AeuDHAx6ANr zI$UaEjddCKOtHpPkcmda+D9g{;e*kCnHqQ(553hT-m!1KCYFUv>1mZ^tk3QZ@+8Py zqfbVRRe|w9ApFLa^$gB|ZbUmPaP9f|5ph;nIK`@mX6(`lR_m!Z^@g{ivM7J8RV-UN zZiiK|adOPNJ{RF;U|aHAk!;KtsBX5KTWFrG^vizq&hx12 zyM;Hc_ZfAYf3rRfWjVXVy%#yyDCemnv;J0+$pE8tgUN833dFLTSwn3O8XGc`Y_5sZ zc_}srwNdb>@q^lqA>(XnSabMvn+PdEGss+g4+8O0ex&u!4rIvKz`ZXkJ+29 ztuKT5J)&=#t=Tkh_(a=q*a>HnoNwF1G$K6DwpBQ;F9xZMS^cyv*LQ?9?x0~QJUZMg z@cBY!flm@@)jx{fZEF_z9HCa2s7D};q2n5S+&b6b19o{ z>EwjlkVeb1#>>V3UoZq<|y`@|UU@(w8?yzlEB5>5UdZ-X42`4QL;*c8+uQM@1hc?TPTqomdFwks@L z8XPMQ)IN8$2~P-E?S_U&nM)AOFjc z4u>btrkfl$=7>xauG`_-sGoyiLnXY@H zJPhevQ)jnVJEmyf^VrYR^}5ae8gJ2c(V>n(R5lV$h4Cy#;AKtMlr6uZbo8cip04>K z>PE)|YI_nDNTmV^!q>Nz*BcVo%r@8?4!GOerR%rkcD5@vy;jlHt_eQjyV{kTGD7_9 zVl|6H{8$E+%G88~HSkQ$iK!@;M56q-9OakL#0K%}@?~~4Ci`{03`(ZK1miZ-@CQsS z4}POZg|10|CTp!-uF=)4%&y+_T+{};CjGRPwRZc&e)w_oPSclJ+wB_orr;OtE@{_K zYOrfC?akT)Xx6kzFrlWQTO<($bV3$RspY%qTNhtbqy&Nh)$C@%Y#5UXbLG%9;V)-O!oDl?O?xvu4|#d16B!@syAd-CD^a0 z^a6oaGFdi{v1M*AB5Yt#2b6;Fj70*McadC0Jdtt^F(CyNJn?38Pq8}HP~ z!zyX6ODD6{EN8z?t?Yg!JUB!D&brx#ZO|b2-nwvsnYB&eytkn>z=pdhkYz(lf!S)` zinva@sO@H}TM?5x-8XrS+-%>&s+-fSP75HPvqqBlO_7j)-?R|=w4dx7MQp*=PBr=+ z(_ie=ND*pfli@Vb%4UPCH*BnXQ#4X+8Y!+vD6U5!im?7Si|!jkG|iw`#7~1_4L>jN zNDi;mfgm@Fkt@e__J;Pha&KB_uTbGDs+KluLsZ13&euiih_^Z?k@TjGDuJBcCi~lD zf8SJExY@qmFxt7PbA##Sgfc~6qiJ3FS?5;vOzd4vt}!a>M(0GUkCyz@`62Zzl~(vH z-S0Io+oxCsZ2YrxDnAgVaky`Cq!=X8b;W1U?4;4NQ&YUAlS7m7vyowb1X1h52HPuPOnTuMaPAXb`jEA*&6VybMo$gJvMeR%YzA!6Db`Ufd>! z0;_imcRJMQ%e#LH@&*6P4ju}}AGpP`mcVNcHCBI*NYtj74kadPvyAuiV4pU88UV*| z`V`P)bel29rbd5#;tvirOzVo<51x$6^}Qi-xCwE`daKIJ{Sc>^G-t;q?a`G1jytV- zM1(k|=>Hy%tGzKb+7V^E<5}Sgzs5|__rICy1H&Y*3aZeah63fr=!mgUcwoOm-Oq4ruv%DA>ZsKu)xCZl?*8GCxs@AJKXBYPKtt zgM1MAhf}IN?rU(lkI~RaW#b*#3hhlN(}Ll!w%a*h!?km#`>{-|e#Bjk2ag|{&?T0| zZGtV5-G!5DEc+TH*G`(A4EcYo8{4JTYQu^NkRAs%`%L+9M-@Ah_2jteUF@x237XTT zK;XVqAn>}Ht(EQzyR?cit6e&HSbrIp-zD5SFSD@AEzO*D@aJjcg!pH=Bw8z(JGwLo ze0om0^|rd^E`=OFE_QJ-go5 zydS+)pP|A1_`YTte5go*z>bA?E@8iu=o%-{HBQouU#Wu}v}_RgkvNv+#ti71W!=#$ zzH6p+>BwOqYetTRobYAwT??!)#cTojChXkY;8widuGo6rVpz5fmEq5K<%(fTcd? z?dIxdj%CN9g3V3V7eXQ+y)dJX`GoaQXZXl(Y_0vx`mFVi4JP|m=8?L=A>9arkT@C17KBv^NAlEuuRV_83s9<=6%*V-E_W31IGnq66D|` zaKg3pGq!YS9o(<7p0csXoUVO-M5+0jb<*5QNPjq})_lvlS4M-G+g$DWhB=Qe%6;o8 zK6ViHm*Dv*_67sKd&4lw=d9V@P+Zf%3n^N$>TA8v z`u3Ip$8@GEFm)?|aiBP8*3&>J*PNK{*{xWEkEUBsS3RBeUYDO3 zwKQe452+LjMvffZtp4+XF#>#%mIYP3TBp%Oqce6Km_D-{HVku6CyV={o zD>jsFW}Ej$o-&zj9{Qa!dDwjEf2G@L4UXYhO^0Beb2N7j_IJkh;Ha*a=CRpOH#OP0 zmLgGZj2f5be4ZR!A#E+WZ_+;7J;IEK<$C9I{W~5FV2`8fI#_ac9m>K%Lxbl4rIG$? zq@LZTp1}*OV9eK&X(P#pzZZSn*h?db0yp~(6$ag4!fV-gkYEZbO;N# z>6I4YQmet627giw(S|8LbM$|bW4s+v>9SATz3)AfR)K4ON7o=C!(VneYuuOI*E&U< zEPThMLDRVWm`fwI`jUPWoJT$QQ*LKnJb0el1(znPj&WCAVr{Hv{_JweXm)wzlF5zh z99_8~DDte?gAbhqH&hJQJbYXmDNg7z&~=}+-PQm{v!OIAxX;-wMN?Yx)$%e}m~{@!Q?&4a4F;gi`NJ z^<#;q?QY7o?$^Yyf*jFcDzEr=_x&8VicCJ;0p1v8=)G#K9XG6Z*2C+2wz+k3yRVIo zaDntIbslc@HkiJzjf)6$+iCMcXgJA!ZjltB7%kRgufyTiOv_XP<<}c-u3F)CUf>N` zv#uo&9^=zsQx*NZn^`w_{;O^tI^0Y>ba?mKtP8A6)b6vn6!V5#GaL)|m>LCEdY=~E z`&216>{$A-+kNrQG*A6mhK&7Zq@n9}-Qb?ZTmz`3xn3(D(mHSF~) zScuq!{_sk)8u%L*LegB}j{CaFJ(k(S8x)eLy$R&9-tcfzn7h5ZpevyWk)kqS>#-^t-MpE|el-BHhbUbBf!dfBtp20dm87&F=|@sk4QmlUYl4t@lE z1=0;%xA{j8ZX1w$%+qAsr~gUMOc)`bfZRRef@iv(Pfyfd5`B6#@N34;^ZxMku+3hi z^>Uyl+KblOAg>;_JAFS#-(0^C*B5l5Z*%%Z)ngRU97PZZYZVFInShhKl%~e=XyW$ktyBqb?j!ZAQ;>Z znn^T7Z&aZY8%FccZ|0E zE!l32ceL%B{&wCT+8L1Lp-mX$0cnG`zjqQ-N0vWH z)@xfvTyVj2!YXeZd!^p@wOMtoce!ieTM$f>ZIB~$-|)>KKh~f-o^#AQ*LG1vi+6!7 zu4B2jpBJ}yr|Od4jlIYFa~@u&+~>RF!~a)bcOMp2mB#`6cg~qR7Ze3X1{hw15l~1C z--%UVe4*0O&~|-WZ5<$AK+#YOTcH`{C6QDpmz!xxS+-eJ$9u1 zW5sG&`I4gOw!7bROxNA**?FG%+~54}cg{VRnLl{+aIdK`1?%}BC!fhO80!s85rac$ zq&5njv6=;SuGW}hp{X#0inIx^R9T@#BLAFGbe_crckBBw&?!CDyX`!y6GmbWs~Ug-&mFp{k7!df23pK$Md*b3Tuf86)lzo8)%c`l2qh$&N?Wm8$lu zI$(3s>YPBDlUj3{aFO+%9M@2lR8qQ=MmanqoK)sW954G0WmA?M)1T#}cO3p~C$Wi+ z94C#RNDe2ho9J-Jy)LE4IC_aianGH`*-Pwhw`ZDqqcWf*r|cvuT}r<)prq+?uV;pn z4o_@?(UUxP)uGd*q&!(CDjiCf(xVK_lzVBG)TQ(oZ(e3e%wu$4W@)U+2<>I@{Q|R9 zY>tW+e{ga!Oig97KHFFJ#NFq(!n$R63L{rRSPO zYFuT&=zE2w4GR>@7A;qAQ#zC`Wz#Cz_bVOu8LlfVmAQ=CD=a?4v0CnODgDZTlGdo9 zln$kTt?UGp4&xIX6=UdEai0D%wMXeNM#`3_LbjTe0b{{cmSzh)BwOT`CMq3Dmv_%q zHj=xSJD-XxUi4m>vj@#Pcw0r&V()hRGo$ET`pJG0O zo!gGWch(-0{d!q{I9mF6s&vnozzxsM?neDv_x!JJwB{7bLA#UX!4_;(s!pv{*2~67 z=PTF8%X+Aq;tDmz!MC49=kRLTFKM^{S)%NmnGp>&q2_e_`d@#${4NmK`G z*)HpbWzq?QLzEq?ow>QSrbr(6Z9|57l@%(QQ7$L< z$z1sXUCZ3Az5&k_TXBH9iw8n)Q7SxKB8`7gI;C2E#%<+jT>jkhx%#96}Xfh`(W;5V&mIqg`S+Iot6s}~m;VL#4 z-pA&{QnmoDX7|7~Y!Q5b<-;;o2-mU2@Ikf|{*o2J^=vsTXC<(Lt-^sHf*gyF+@*No zVWoJnfvtfX+5NDRmBDKEAgp2Q;lr!~Zo*<(PPJH(z-cq9#Cz)?r$<;d+`=A)TUjmK z#_FKKw!mX-8w}zNFql6E`|w}EzTA+*;fgn~AKwZ4^Cw{_-wngCoPgs>GJBwnKL=y@ z^Dvgb0PXxmIEXjHB>oCay9iu>R=-j1nfKsToX{w7+5 zyaV3L--e6%yKo6V0@v|Q_)Gpitm7ZTE&LB~JO2na@{i$@{3zVb{|xu@W3ZKf0^j9- zg-7@a_aN}oAFX2Mqn1s+_s|bdN1-|F>mgoo1h){S=gu_c>0Ce{X zD_e&PO;~Yfg0D?c@T|!O&zoZ51yeliF(tsuCOfUCFwF`rS`>`bhQMeo7RG4tFiuN@ z12sF9(;y_vDH+LfN=34qZb7n~@GB_170GfM;YPFqzlmDmI7BPxCx}+i1Vk$+8_^1K zAX-6_ko1rXNe>ku>7gasaJURf4;3Tnp%q#>T!~~gZAP-19zn92wrXQxJ(AV*D3aB* zUCV%tn%j*)fL_0)s^My{vJnjL>fL{FHlaF;m> zK4qQ^o6NEB8FM^*)|?CXn(fp~`;cs=mym3xmyvA7#c5*UYe+WJZ;))J14tgEzax2& z{(QiAfqK7C3(L)rcJK;b?+h{P7 zZIp^+8x7Nkz*~`QqY+59(I`C)jzO}W?n1JiW+I7OS0LF=bC7JOc}TX?&yj4Wg-H6{ z1&I1-38H>lhNz#45%tpwME$f9(GGeD$qw=$iCbPE*+Df(cF-myJ7_bK9rOs2 zo%9zZJLx!*o%E?b0|t=nq|cD-q%ZV5_%|fGDCH|eyXb2~yXad)yXY*UU34DNF1mnd z7xj2|>b!`tEru4%Q>tl?^x z=|-FARI(RKn&o=ee?Lwo$6`tRe?Q8--v#qbKGrS{=ttNaJWag8)5Y5Unb_v?AtBFG zgtkaTXx%JAD^%MLLc5tB<|93<*2oXx1F}wvdEp(rH2kF45bmSW@K{rSQ7HP0IzHEO zhv}px7Ed3Yv=oYqc%DS>qGhRR?|@9^5M@RShRB_)l}1)**-_2ffFK`@j4l)-qr2J2 z=tbDt@eDOb+nnatZ7=c@wo>z$7$2Rm`KTafgSjBaE>76eL_tiQ5!{c*<`$|G$csxC zyW_qzzZ!R%g~li7Jux=EDLzR*pu712eXL#@o{!o`Qxod+ig(K3AAzuvoEU7gpHreZavLDaYV=@;l8kMnV1WlNdUy{Fc@yz^{ zYu98K$fgk<#;wNr2)@KyX63`|-dDzP`!eqrPvII)VK02&)b;X?fm4h@*||S^|K%6F zYcKM1A*{q$!bSSvQZ11zRrj0BKd`};*q2$VHiU$PSUe3DPq!sMysFx0-Dbd7s_P)W*;$ha}-E0;+IP-avgGF8+ zWVe|`oVR{}ctRjLG)mk#%f~FkgRGV+Y&SMvFP^?$w4m_3$t<2D*E_A(i$m87-w(wG zt17&2MTw)Bmv@&<98b6UR+XHQUqbmU-jC<5rGj=DTc(Pnuuo6Fe)#EE8*gtl&bRz- zUTUM^pDK1+%9aV4SC0l+CpOq4S*3T=G*QDIbFeUP|LNk2;LqOX4WB8_u!a%(zW^A9 BTQ>jz diff --git a/1.4/MilkModule/Assemblies/MilkModule.dll b/1.4/MilkModule/Assemblies/MilkModule.dll index 4e3a2aea78c5940657c434651e9748fd1df3658e..84a342df3f6ff68b0c8be6550792c1b037a920c7 100644 GIT binary patch delta 68 zcmV-K0K5NyEPyPKhy;>+Q@OE>{0k6QB-3}6;ao|jujTJqd-!{^m<$901nQ*y^OK+s aG!Qssg5}Mbheo@+yuJ;OVd=Bv4jcz@F(GUK delta 68 zcmV-K0K5NyEPyPKhy+{0Sh}%{{0k6i@ul6~gTzaOl(IaaYVYVQH7LUsra+x4jczdmmi-1 diff --git a/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/HediffComp_Breast.cs b/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/HediffComp_Breast.cs index cbee5b3..eacab7d 100644 --- a/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/HediffComp_Breast.cs +++ b/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/HediffComp_Breast.cs @@ -150,7 +150,7 @@ namespace RJW_Menstruation public bool ShouldSimulate() { - if (!Configurations.EnableAnimalCycle && Pawn.IsAnimal()) return false; + if (!Pawn.ShouldCycle()) return false; if (Pawn.SpawnedOrAnyParentSpawned || Pawn.IsCaravanMember() || PawnUtility.IsTravelingInTransportPodWorldObject(Pawn)) return true; return false; diff --git a/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/HediffComp_Menstruation.cs b/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/HediffComp_Menstruation.cs index 1d25304..43eb4e4 100644 --- a/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/HediffComp_Menstruation.cs +++ b/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/HediffComp_Menstruation.cs @@ -714,7 +714,7 @@ namespace RJW_Menstruation public bool ShouldSimulate() { - if (!Configurations.EnableAnimalCycle && Pawn.IsAnimal()) return false; + if (!Pawn.ShouldCycle()) return false; if (Pawn.SpawnedOrAnyParentSpawned || Pawn.IsCaravanMember() || PawnUtility.IsTravelingInTransportPodWorldObject(Pawn)) return true; return false; diff --git a/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/MenstruationUtility.cs b/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/MenstruationUtility.cs index ffd37aa..0edd470 100644 --- a/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/MenstruationUtility.cs +++ b/1.4/source/RJW_Menstruation/RJW_Menstruation/HediffComps/MenstruationUtility.cs @@ -403,6 +403,14 @@ namespace RJW_Menstruation else return variability; } + public static bool ShouldCycle(this Pawn pawn) + { + if (!Configurations.EnableAnimalCycle && pawn.IsAnimal()) return false; + if (pawn.RaceHasOviPregnancy()) return false; + // TODO: Exclude egglaying genes + return true; + } + public static bool IsInEstrus(this Pawn pawn, bool visible = true) { if (pawn.Dead) return false; diff --git a/1.4/source/RJW_Menstruation/RJW_Menstruation/Patch/RJW_Patch.cs b/1.4/source/RJW_Menstruation/RJW_Menstruation/Patch/RJW_Patch.cs index 8299c26..31a3688 100644 --- a/1.4/source/RJW_Menstruation/RJW_Menstruation/Patch/RJW_Patch.cs +++ b/1.4/source/RJW_Menstruation/RJW_Menstruation/Patch/RJW_Patch.cs @@ -24,7 +24,7 @@ namespace RJW_Menstruation if (sextype != xxx.rjwSextype.Vaginal && sextype != xxx.rjwSextype.DoublePenetration) return true; - if (partner.IsAnimal() && !Configurations.EnableAnimalCycle) return true; + if (!partner.ShouldCycle()) return true; if (!InteractionCanCausePregnancy(props)) return false; @@ -100,7 +100,7 @@ namespace RJW_Menstruation { public static bool Prefix(Pawn pawn, Pawn partner) // partner has vagina { - if (partner.IsAnimal() && !Configurations.EnableAnimalCycle) return true; + if (!partner.ShouldCycle()) return true; HediffComp_Menstruation comp; if (pawn.HasQuirk(QuirkUtility.Quirks.ImpregnationFetish) || partner.HasQuirk(QuirkUtility.Quirks.ImpregnationFetish) || partner.IsInEstrus()) comp = partner.GetFertileMenstruationComp(); @@ -125,7 +125,7 @@ namespace RJW_Menstruation { private static bool PregnancyBlocksImpregnation(this Pawn pawn, bool _) { - if (!Configurations.EnableAnimalCycle && pawn.IsAnimal()) return pawn.IsPregnant(); + if (!pawn.ShouldCycle()) return pawn.IsPregnant(); else if (pawn.GetMenstruationComps().Any()) return false; else return pawn.IsPregnant(); } @@ -347,7 +347,7 @@ namespace RJW_Menstruation if (__instance.Sexprops.usedCondom) return; if (AndroidsCompatibility.IsAndroid(pawn)) return; if (!Impregnate_Patch.InteractionCanCausePregnancy(__instance.Sexprops)) return; - if (!Configurations.EnableAnimalCycle && xxx.is_animal(partner)) return; + if (!partner.ShouldCycle()) return; // Archotech penises have more control. Or something. CompHediffBodyPart penisComp = pawn.GetGenitalsList()?.Find(genital => (genital as Hediff_PartBaseNatural)?.def.defName.ToLower().Contains("penis") ?? false)?.TryGetComp(); diff --git a/1.4/source/RJW_Menstruation/RJW_Menstruation/Utility.cs b/1.4/source/RJW_Menstruation/RJW_Menstruation/Utility.cs index 09ad64a..1e8a490 100644 --- a/1.4/source/RJW_Menstruation/RJW_Menstruation/Utility.cs +++ b/1.4/source/RJW_Menstruation/RJW_Menstruation/Utility.cs @@ -448,7 +448,7 @@ namespace RJW_Menstruation { if (!Configurations.EnableWombIcon) return false; if (pawn.Drafted && !Configurations.EnableDraftedIcon) return false; - if (pawn.IsAnimal() && !Configurations.EnableAnimalCycle) return false; + if (!pawn.ShouldCycle()) return false; return true; } diff --git a/changelogs.txt b/changelogs.txt index 2e68aa8..336864e 100644 --- a/changelogs.txt +++ b/changelogs.txt @@ -2,6 +2,7 @@ Version 1.0.9.2 - Fixed the no bleeding gene having positive metabolic efficiency instead of negative. - Removed status button in health tab for non-genitals to avoid errors. - Handle errors more gracefully when starting a pregnancy. + - Egglaying races no longer have a menstrual cycle, regardless of vagina type. Version 1.0.9.1 - Japanese translation for most text by Lokuzt.